E-COM-NET
首页
在线工具
Layui镜像站
SUI文档
联系我们
推荐频道
Java
PHP
C++
C
C#
Python
Ruby
go语言
Scala
Servlet
Vue
MySQL
NoSQL
Redis
CSS
Oracle
SQL Server
DB2
HBase
Http
HTML5
Spring
Ajax
Jquery
JavaScript
Json
XML
NodeJs
mybatis
Hibernate
算法
设计模式
shell
数据结构
大数据
JS
消息中间件
正则表达式
Tomcat
SQL
Nginx
Shiro
Maven
Linux
gtkwave
数字IC基础协议篇(1)——I2C协议
数字IC基础协议篇(1)——I2C协议写在前面的话I2C协议应用框图I2C数据格式协议注意点I2C读写EEPROM例程(基于iverilog和
gtkwave
)软件环境要求项目框图总结写在前面的话协议介绍
IC_Brother
·
2024-02-09 22:06
数字IC经典电路设计和实践项目
数字IC
FPGA
Verilog
1.3 Verilog 环境搭建详解教程
FPGA开发环境有Xilinx公司的ISE(目前已停止更新),VIVADO;因特尔公司的QuartusII;ASIC开发环境有Synopsys公司的VCS;很多人也在用IcarusVerilog和
GTKwave
二当家的素材网
·
2024-02-07 05:43
Verilog
教程
fpga开发
Verilog
OpenMIPS用verilog实现
一、前期准备1.编辑、编译、仿真工具用vscode+iverilog+
gtkwave
组合实现verilog的编写、编译和波形查看,其配置过程见博主:MacbookM1使用vscode+iverilog+
闻林禹
·
2024-01-31 13:24
cpu
verilog
SystemC学习笔记(三) - 查看模块的波形
对于TLM来说,查看波形一般是指查看pvbus上的transaction,而对于SystemC本身来说,查看波形就是使用
Gtkwave
或其他EDA工具,查看Module的input/output的时序输入
crazyskady
·
2024-01-23 13:04
SystemC
Simulation
学习
笔记
SystemC
Quartus II 13.1的安装及使用
FPGA开发环境有Xilinx公司的ISE(目前已停止更新),VIVADO;因特尔公司的QuartusII;ASIC开发环境有Synopsys公司的VCS;很多人也在用IcarusVerilog和
GTKwave
lbaihao
·
2024-01-05 09:05
verilog
c语言
开源verilog模拟 iverilog verilator +
gtkwave
仿真及一点区别
配上
gtkwave
看波形,仿真工具基本就齐了。
yvee
·
2023-12-30 01:58
fpga开发
Tcl_Init error: Can‘t find a usable init.tcl in the following directories
目录问题解决小结问题最近在研究开源波形显示软件
gtkwave
时,Ubuntu18.04下编译打包完成,移植到另一个电脑上运行时,出现以下错误,如图:擦掉的部分是一些路径信息,这个错误提示意味着您的系统中缺少所需的
从此不归路
·
2023-12-18 12:27
FPGA
EDA
ubuntu
运维
开源软件
VsCode编写Verilog,自动生成Testbench,生成Wave-20220329
目录一、准备工作①安装VScode(不是VisualStudio2019/2017/2012):自行百度②安装Iverilog与
GTKWave
波形查看器③安装Vscode插件以及配置:二、写测试平台testbench
ZDA2022
·
2023-11-19 11:58
FPGA_Study
单片机
vscode
VScode配置verilog环境(代码补全,报错,波形仿真)
实现代码补全、代码高亮、错误检查实现生成Testbench实现波形仿真所需配置文件iverilog(自带
gtkwave
)、ctags.exe所需配置文件官方下载网址(速度较慢)iverilog:IcarusVerilogforWindow
晓山青.
·
2023-11-01 05:24
vscode
ide
在VSCode中配置Verilog仿真环境(详细示例)
本质利用iVerilog和
GTKWave
两个开源软件。i
啥也不ⅠⅪ
·
2023-11-01 05:22
vscode
ide
编辑器
fpga开发
Ubuntu下Icarus的iverilog+
gtkwave
的FPGA波形仿真
iverilog+vvp+
gtkwave
相当于modelsim等波形仿真工具,iverilog+
gtkwave
完全免费,但是modelsim软件需要破解。
OpenS_Lee
·
2023-10-25 04:59
记一次使用 iverilog +
gtkwave
测试verilog
使用Verilog和
GTKwave
测试本文在windows10、raspbian和ubuntu20.04上测试通过,其它平台请参考官方文档iverilog会用到的网址:iverilog官网:http:/
下午两点半
·
2023-09-10 18:56
小工具
FPGA
linux
经验分享
fpga
verilog
【SpinalHDL】Windows10系统搭建SpinalHDL 开发环境
环境准备1.1软件下载首先列出需要安装的软件,并逐一对这些软件的功能和其必要性进行说明.需要安装的软件:IDEA、JDK17、Scala2.12.15、Sbt1.5.5、msys2(verilator)、
gtkwave
惜缘若水
·
2023-08-13 18:32
scala
java
intellij-idea
RISC-V开发与应用学习系列——序言
序言开源verilog编译器Icarus&wave查看工具
gtkwave
高云国产FPGAWindows下的Makefile工具和GCC交叉编译工具其他工具如今开源CPU架构RSIC-V如火如荼地流行开来
TimFang1990
·
2023-07-14 17:19
fpga开发
risc-v
RISCV学习笔记6.1--初步认识蜂鸟e203
参考网站:1、全平台轻量开源verilog仿真工具iverilog+
GTKWave
使用教程2、Makefile中获取自身目录(pwd,lastword,dirname)3、在vcs中编译及运行测试E203
爱发明的小兴
·
2023-04-08 18:08
riscv处理器设计
fpga开发
学习
Mac 上搭建数字电路verilog开发平台(仿真+综合)
工具说明:代码编译:icarus-verilog代码编译仿真:LintVerilator仿真波形查看:
gtkwave
综合:yosys打开terminal进行以下步骤安装icarus-verilogbrewinstallicarus-verilog
MrAlexLee
·
2023-02-02 21:02
win10下使用iverilog仿真+
gtkwave
/WaveDrom查看波形
简介在win10环境下,使用iverilog进行简单的verilog编译仿真,使用
gtkwave
和WaveDrom查看仿真波形。
学习就van事了
·
2022-12-16 21:02
fpga开发
全平台轻量开源verilog仿真工具iverilog+
GTKWave
使用教程
文章目录前言关于IcarusVerilogiverilog的安装Windows下的安装Linux下的安装MacOS下的安装查看是否安装成功基本参数介绍参数-o参数-y参数-I参数-tvhdlVerilog的编译仿真实际应用1.编译2.生成波形文件3.打开波形文件Verilog转换为VHDLVHDL文件的编译和仿真批处理文件一键执行总结参考资料推荐阅读前言如果你只是想检查Verilog文件的语法是否
whik1194
·
2022-11-17 14:24
verilog
gtkwave
iverilog
fpga
hdl
每周更新 | Verilog测试用例及波形展示图功能上线
个功能上线啦~芯片语言Verilog支持测试用例芯片语言Verilog支持测试用例,自动评分同步上线~同时,Verilog运行支持波形图的展示,更加符合工程师的习惯专业性:跟常用的Verilog波形查看器
GTKwave
·
2022-04-02 11:06
程序员
开源EDA工具
http://www.geocities.com/SiliconValley/Campus/3216/
GTKWave
/
gtkwave
-win32.htmlwindows版的gtk-wave,一个图形波形察看工具
hemmingway
·
2020-08-20 00:36
Xilinx/FPGA
EDA
Linux环境下学习VHDL语言,用GHDL+
GTKWave
!
转自:http://hi.baidu.com/df_xyz/item/d90f6b0fee851e39f2eafcee最近学习VHDL语言,老师告诉我们可以使用Quartus这个软件。我一看,我靠,什么玩意,这软件光安装包就是GB级别的,比某些游戏还大!有天理么?比某些游戏还大!咱可不过是学习一下VHDL编点简单的东西,用得着这种专业软件么?我一向遵循KISS原则,绝不像某些人,记录几行字还要开W
iteye_10993
·
2020-07-30 15:15
verilog实现CRC校验
1、模块代码;2、用于
GTKWave
的测试代码。
Shin_Chan
·
2020-07-29 13:29
软件
利用apt-get无法安装,安装失败
sudoapt-getinstalliverilogsudoapt-getinstallgtkwave安装
gtkwave
时,遇到无法定位软件包gtkware.出现无法下载http://mirrors.aliyun.com
笑一笑0628
·
2020-07-06 08:15
verilog
iverilog &
gtkwave
基础练手
github地址:https://github.com/albertxie/iverilog-tutorial先安装iverilog和
gtkwave
。
笑一笑0628
·
2020-07-06 08:15
verilog
全平台轻量级 Verilog 编译器 & 仿真环境
IcarusVerilog+
gtkwave
两者为轻量级verilog编译工具,一共不超过20M。
weixin_34380948
·
2020-07-06 01:07
开源EDA资源
http://www.geocities.com/SiliconValley/Campus/3216/
GTKWave
/
gtkwave
-win32.htmlwindows版的gtk-wave,一个图形波形察看工具
林伟
·
2020-06-24 05:48
开源硬件设计
verilog HDL 的环境搭建
环境搭建安装IcarusVerilog和
GTKwave
由于IcarusVerilog中已经包含了
GTKWave
所以直接从http://bleyer.org/icarus/下载安装,这里提供的为Windows
hucongWh
·
2020-06-23 13:07
verilog
全平台轻量开源verilog仿真工具iverilog+
GTKWave
使用教程
前言如果你只是想检查Verilog文件的语法是否有错误,然后进行一些基本的时序仿真,那么IcarusVerilog就是一个不错的选择。相比于各大FPGA厂商的IDE几个G的大小,IcarusVerilog显得极其小巧,最新版安装包大小仅有17MB,支持全平台:Windows+Linux+MacOS,并且源代码开源。本文将介绍如何使用IcarusVerilog来进行verilog文件的编译和仿真。关
whik
·
2019-12-03 22:00
在Windows 10下配置和使用Icarus Verilog (iverilog)和
GTKWave
http://bleyer.org/icarus/2.配置环境变量这是为了在windows命令行界面的所有位置都能调用已经下载好的iverilog.exe和
gtkwave
.exe。
John_Y97
·
2019-03-28 15:20
Verilog
windows上使用iverilog+
gtkwave
仿真,
数电,计算机组成原理要写verilog由于我不需要编写一些大项目,用vivado就是杀鸡用牛刀了,不仅慢,还要配置很多地方.于是我在网上搜索,可以使用iverlog+
gtkwave
命令行工具写好各个模块后
mbinary
·
2018-04-07 16:47
verilog
verilog之四位全加器的编译及仿真(用开源免费的软件——iverilog+
GTKWave
)
原文链接:http://www.cnblogs.com/chengqi521/p/8052427.htmlverilog之四位全加器的编译及仿真(用开源免费的软件——iverilog+
GTKWave
)四位全加器的
weixin_30740295
·
2017-12-17 16:00
Tcl_Init error: Can't find a usable init.tcl in the following directories
GtkwaveCan'tfindausableinit.tcl最近再使用
Gtkwave
查看波形的时候出现了一个错误,导致我的
gtkwave
界面无法打开,下面是报错信息:
GTKWave
|Tcl_Initerror
Volcano1024
·
2017-05-13 10:24
Linux/Centos
全平台轻量级 Verilog 编译器 & 仿真环境
IcarusVerilog+
gtkwave
两者为轻量级verilog编译工具,一共不超过20M。
He11o_Liu
·
2017-03-22 21:21
FPGA
Icarus Verilog和
GTKwave
使用简析
本简要介绍IcarusVerilog和
GTKwave
的使用,使用iverilog(IcarusVerilog)编译仿真verilog而使用
GTKWave
查看仿真数据波形下载安装基本使用iverilog使用
husipeng86
·
2017-03-05 17:38
仿真
iverilog
GTKwave
工具
verilog学习记(测试和验证)
好在天无绝人之路,自从有了iverilog和
gtkwave
之后,发现这世界上原来还有这么一种简单易行的工具。
费晓行
·
2017-01-15 10:21
verilog学习记
ubuntu安装verilog
1.安装verilog sudo apt-get install verilog 2.安装
gtkwave
sudo apt-get install
gtkwave
3.安装dinotrace(和
gtkwave
·
2015-10-30 14:06
Verilog
verilog之四位全加器的编译及仿真(用开源免费的软件——iverilog+
GTKWave
)
原文地址:http://www.cnblogs.com/CodeWorkerLiMing/archive/2012/04/18/2455945.html四位全加器的verilog的代码比比皆是,这里上一个比较简单的:/*4位全加器全加器需要有输入输出,需要有下级向上进位的输入,需要有向上一位进位的输出。大家看一下,这个模块已经包含全部的输入输出信息。大家都知道,N位加法器得出来的出来的和最多是N+
china_zcc
·
2015-05-20 20:23
iverilog+
gtkwave
进行仿真
边学边记录 #number; 为延时number秒 在initial中控制整个仿真的时间 例子: initial begin $dumpfile(“main.vcd”); $dumpvars(0,vara); #100; //这样就控制了整个仿真的时间为100s $finish; end
quanwei9958
·
2014-09-21 22:00
Verilog
gtkwave
iverllog
使用iverilog+
gtkwave
仿真or1200
2.在你的linux系统上安装iverilog和
gtkwave
,安装方法很简单,fedora:sudoyuminstalliveriloggtkwave.ubuntu:sudoapt-getins
jjinl
·
2014-06-20 16:00
linux
iverilog
or1200
verilog学习记(快速入门)
事实上,还有很多开源的工具可以使用,比如说iverilog+
gtkwave
,大家如果有兴趣,可以在网上查找相关的内容。现在的芯片设计越来越复杂,如果按照基本的门电路设计,那么效率是非常低的。
费晓行
·
2013-05-07 21:26
verilog学习记
verilog学习记(快速入门)
事实上,还有很多开源的工具可以使用,比如说iverilog+
gtkwave
,大家如果有兴趣,可以在网上查找相关的内容。现在的芯片设计越来越复杂,如果按照基本的门电路设计,那么效率是非常低的。
feixiaoxing
·
2013-05-07 21:00
[Ubuntu][64bit]Linux下的Verilog仿真-1
github:git://github.com/adream307/iverilogTest.git1.使用iverilog编译2.使用vvp运行仿真程序3.使用
gtkwave
观察仿真波形注意事项:使用
adream307
·
2012-06-23 15:00
linux
ubuntu
git
脚本
insert
64bit
Linux环境下学习VHDL语言,用GHDL+
GTKWave
!
转自:http://hi.baidu.com/df_xyz/item/d90f6b0fee851e39f2eafcee最近学习VHDL语言,老师告诉我们可以使用Quartus这个软件。我一看,我靠,什么玩意,这软件光安装包就是GB级别的,比某些游戏还大!有天理么?比某些游戏还大!咱可不过是学习一下VHDL编点简单的东西,用得着这种专业软件么?我一向遵循KISS原则,绝不像某些人,记录几行字还要开W
xiangshimoni
·
2012-06-23 14:00
游戏
linux
语言
emacs
编译器
文本编辑
windows XP下 iverilog+
GTKWave
使用(四)
由于这里上传不了pictures,请到http://blog.chinaunix.net/space.php?uid=25148957&do=blog&id=3179671继续。
liming0931
·
2012-04-17 11:00
windows
XP
windows XP下 iverilog+
GTKWave
使用(三)
windowsXP下iverilog+
GTKWave
使用(二)当中只有一些显示信息在终端里面,这里讲讲如何生成lxt2文件以便可以被
GTKWave
调用。
liming0931
·
2012-04-17 11:00
c
windows
XP
File
终端
windows XP下 iverilog+
GTKWave
使用(二)
上回讲了iverilog的helloworld版的程序,接下来就讲讲以个计数器的仿真以编译,首先编写一个counter.v的文件,如下:modulecounter(out,clk,reset); parameterWIDTH=8; output[WIDTH-1:0]out; inputclk,reset; reg[WIDTH-1:0]out; wireclk,reset; always@(p
liming0931
·
2012-04-17 10:00
windows
XP
command
Module
compiler
output
windows XP下 iverilog+
GTKWave
使用(一)
iverilog+
GTKWave
是一个不错的选择,之前在Linux下安装过,现在为了完成作业,看看有没有windows版本的,一查,真有,而且是一个exe文件包含了两个软件(MuzafferKal'sc
liming0931
·
2012-04-16 10:00
windows
File
XP
compiler
gtk
compilation
开源EDA资源
http://www.geocities.com/SiliconValley/Campus/3216/
GTKWave
/
gtkwave
-win32.htmlwindows版的gtk-wave,一个图形波形察看工具
linweig
·
2011-05-26 10:00
application
library
compiler
tutorials
Allocation
construction
上一页
1
下一页
按字母分类:
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X
Y
Z
其他