Circuits--Sequential Logic--Finite State Machines--Lemmings1

网址:https://hdlbits.01xz.net/wiki/Lemmings1

module top_module(
    input clk,
    input areset,    // Freshly brainwashed Lemmings walk left.
    input bump_left,
    input bump_right,
    output walk_left,
    output walk_right); //  
 
    parameter LEFT=0, RIGHT=1;
    reg state

你可能感兴趣的:(HDLbits)