E-COM-NET
首页
在线工具
Layui镜像站
SUI文档
联系我们
推荐频道
Java
PHP
C++
C
C#
Python
Ruby
go语言
Scala
Servlet
Vue
MySQL
NoSQL
Redis
CSS
Oracle
SQL Server
DB2
HBase
Http
HTML5
Spring
Ajax
Jquery
JavaScript
Json
XML
NodeJs
mybatis
Hibernate
算法
设计模式
shell
数据结构
大数据
JS
消息中间件
正则表达式
Tomcat
SQL
Nginx
Shiro
Maven
Linux
法器
【CTF】逆向:Ollydbg使用初级
Ollydbg是Windows下逆向等的不二
法器
,学会用Ollydbg是做逆向的前提。看雪论坛上有很多关于这个的教程,讲的非常细。前面也看过的各种逆向理论书,现在该是实践的时候了。
·
2015-11-01 10:46
DB
zc702-自定义AXI-IP核实验
自定义一个IP核,通过AXI总线与ARM系统连接环境:Win732bitVivado2014.4.1Xilinxsdk2014.4开发板:Zc702第一步:新建一个自定义的HDL模块,本实验新建一个16位加
法器
huamingshen
·
2015-10-31 22:00
FPGA
Verilog实现串/并行加
法器
实现两个N位二进制数dataa、datab的乘积,用简单的方法计算就是利用移位操作来实现。dataa进行位扩展左移累加的操作,datab不便右移的操作。 module Multiply( clk,rst, dataa,datab, dout ); input clk; input rst; input [7:0] dataa; input [7:0] datab; out
·
2015-10-31 15:50
Verilog
VHDL学习之TEXTIO在仿真中的应用
本文介绍TEXTIO 程序包,以一个加
法器
实例说明TEXTIO 的使用方法,最后使用ModelSim 对设计进行仿真,并分析仿真结果。
·
2015-10-31 15:16
text
Sicily 1150 简单魔方
这里的广度优先算法比较特殊一点,就是我采用了类似加
法器
的进位机制来实现。
·
2015-10-31 12:31
CI
RAM阵列
RAM阵列的该地址中存放的8位数值是加
法器
的输入数据。加
法器
的另一个输入数据为00h,因为此时锁存器也已经清零了振荡器提供的时钟信号——一个可以在0,1之间快速切换的信号。
·
2015-10-31 11:53
r
[笔记]学习I2C总线
任务:AmbiGlow从XILINX器件上移植到Altera器件上 AmbiGlow从XILINX器件上移植到Altera器件上,要注意加
法器
和除
法器
IP核上的不同,尽量使它们输出的信号一致,这样就可以不用改程序
·
2015-10-31 11:42
学习
《Cracking the Coding Interview》——第18章:难题——题目1
解法:那就位运算吧,用加
法器
的做法就可以了。
·
2015-10-31 10:11
interview
(原创)采用加
法器
数乘
法器
实现17位有符号数相乘(Verilog)
本例程采用加
法器
数乘
法器
实现17位有符号数相乘。
·
2015-10-31 09:09
Verilog
VHDL实例化过程
第二步:建立一个名为MUX_0的乘
法器
第三步:在程序中例化,看以下程序。
·
2015-10-30 18:04
实例
如何寫一個加
法器
? (C/C++) (SystemC) (IC Design)
Abstract寫一個硬體的加
法器
,幾乎就跟軟體的HelloWorld一樣,是最基本的程式,此範例Demo如何用SystemC寫一個加
法器
。
·
2015-10-28 09:51
System
实验二 8位加
法器
设计
基本命题 利用图形输入法设计一个一位半加器和全加器,再利用级联方法构成8位加
法器
。 2. 扩展命题
·
2015-10-27 13:37
设计
MFC的简单加
法器
(二)
创建对话框主要分两大步,第一,创建对话框资源,主要包括创建新的对话框模板、设置对话框属性和为对话框添加各种控件;第二,生成对话框类,主要包括新建对话框类、添加控件变量和控件的消息处理函数等。鸡啄米在本节中先讲讲怎样创建对话框模板和设置对话框属性。 创建基于对话框的应用程序框架
·
2015-10-27 11:41
mfc
IT 从业人员的基本素质
计算机实际上就是逻辑运算,从底层的加
法器
到全球共享的因特网,都是逻辑运算关系。无论是做
路得123
·
2015-10-27 10:52
计算机
项目经理
技术经理
沟通能力
网络管理员
九度OJ 1198:a+b (大数运算)
时间限制:1秒内存限制:32兆特殊判题:否提交:6745解决:2320题目描述:实现一个加
法器
,使其能够输出a+b的值。输入:输入包括两个数a和b,其中a和b的位数不超过1000位。
thudaliangrx
·
2015-10-26 11:00
C语言
大数运算
OJ
九度
构建有符号加
法器
和有符号乘
法器
的经验
由于本人在一个项目中对AD采样得到的数据采用累加去噪时犯下低级错误,特意总结了一下verilog中如何使用有符号乘法和加法,希望对大家有所帮助,避免与我犯一样的错误。.n6r(N3V h9`我犯得低级错误对项目影响挺大的,因为项目做得是多通道数据采集,对每个通道都做累加去噪,由于没有注意到有符号乘法与加法的补码问题,多通道一'x%C1q#K*};_7m.L3}直打不到理想的要求,导致项目一度陷入
ztshun
·
2015-10-25 14:00
分布式乘
法器
module distributed#(parameter width=3,parameter width2 = 8)/*调试错误一*/ ( input clk, input [width - 1 : 0] in_data, output [wid
·
2015-10-23 08:23
分布式
阵列乘
法器
希望大家看看,给指点一下,程序如下: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity ZL_multiplier is port( clk :
·
2015-10-23 08:21
列
模块调用时对参数值的更改
比如说我们可以设计一个加
法器
模块,该加法 器的位数由模块内的参数指定。
·
2015-10-23 08:19
参数
《那些年,我们拿下FPGA》做笔记
一般内嵌乘
法器
、乘加器、吉比特传输模块等等,能够使原来利用逻辑实现的资源消耗多、速度慢的算法得到非常大的优化。
·
2015-10-21 12:10
FPGA
VHDL实现加
法器
//全加
法器
libraryieee;useieee.std_logic_1164.all;entityalladderisport(A,B,Cin:instd_logic;S,Cout:outstd_logic
lym152898
·
2015-10-07 00:55
VHDL
java练习--随机数加
法器
问题及代码:问题描述:随机生成两个小于100的整数,计算并输入这两个数的结果,如果结果正确,就输出“Youarecorrect!",如果错误就自动输出正确答案;程序代码:importjava.util.Scanner; publicclassQu{ publicstaticvoidmain(String[]args){ intnumber1=(int)(Math.random()*100); in
lily1143
·
2015-10-05 19:00
FPGA初步-Verilog的乘
法器
下面的代码是在论坛上参考了其他网友的程序,加上自己的理解modulemux16(clk,rst_n,start,ain,bin,yout,done);inputclk;//芯片的时钟信号。inputrst_n;//低电平复位、清零信号。定义为0表示芯片复位;定义为1表示复位信号无效。inputstart;//芯片使能信号。定义为0表示信号无效;定义为1表示芯片读入输入管脚得乘数和被乘数,并将乘积复
gg15csdn
·
2015-09-24 08:47
FPGA开发
汇编语言 寄存器 2.9~2.12 总结
8086CPU的段寄存器中,输入一条汇编指令后比如(movax,1234H),8086CPU会要求访问此汇编指令所对应的机器码所对应的内存,然后CS寄存器给出段地址,IP寄存器给出偏移地址最后用地址加
法器
合成物理地址
达达娃
·
2015-08-09 14:00
机试算法讲解:第29题 我也能做高精度整数的加
法器
,噢耶
实现一个加
法器
,使其能够输出a+b的值 输入:两个数a和b,其中a和b的位数不超过1000位 输出:多组测试数据,每组数据,输出a+b的值 输入: 26 1000000000000000000010000000000000000000000000000000
qingyuanluofeng
·
2015-07-31 00:00
机试算法
高精度整数
汇编语言王爽版学习第二章 寄存器 2.4~2.8
段地址和偏移被CPU内部的地址加
法器
合成20位的物理地址,并通过内部总线传送到CPU的输入如出部分,在通过地址总线送到CPU外面相应的内存单元
达达娃
·
2015-07-28 19:00
C语言 关于补码的几个小知识
加
法器
计算机里面,只有加
法器
,没有减
法器
,所有的减法运算,都必须用加法进行。即:减去某个数字(或者说加上某个负数)的运算,都应该研究如何用加法来完成。
Leo_DLi
·
2015-07-24 19:00
ios
C语言
补码
反码
摸
[C8051F320]C8051F320的内部时钟工…
C8051F320的时钟:可编程内部时钟+外部晶振驱动电路+4倍时钟乘
法器
(名
suxiang198
·
2015-07-11 00:00
[D-X] 限位数对称制2整数 浮点数乘(除)
法器
此次所斗整数乘除
法器
笔记的保存地址:to_mdivider。32位限位浮点数乘
法器
笔记保存地址:to_fmulidivider32。乘
misskissC
·
2015-06-26 21:00
[D-VIII] 限位数对称制2整数 浮点数加减
法器
读书后为此次整数加减
法器
练习的quartusII工程保存地址:to_addsuber。32位限位浮点数加减
法器
笔记保存地址:to_faddsub。2015.06.131
misskissC
·
2015-06-17 20:00
VS2010 C++ MFC框架学习笔记2 - 创建一个简单的加法计算器(2)
VS2010C++MFC框架学习笔记2-创建一个简单的加法计算器(2)VS2010C++MFC框架学习笔记1-创建一个简单的加法计算器(1)里,我们入门了MFC的基本概念,现在我们要实践一个简单的加
法器
了
sonictl
·
2015-05-29 14:00
数字电路
计算机中常见的组合逻辑电路有加
法器
,译码器,数据选择器等。1.加
法器
,如果仅考虑2个二进制数位本身相加,而不考虑高低位之间的进位关系,则此时的加
法器
被称为半加器,当考虑进位关系的时候,称为全加器。
coder85
·
2015-05-28 21:32
hardware
OSChina 周一乱弹 —— 亚洲四大邪术!
大清早的还是来首诗稍微陶冶下情操吧@梦朝思夕:毁童年的诗歌解释估计这情操是陶冶不了了,能不掉情操我就谢天谢地了@Orzz:发现请个程序员来做开发,跟以前请道士来作法祈雨排场也差不多了,上来要张桌子,然后开始铺各种
法器
小小编辑
·
2015-05-25 06:00
verilog之四位全加器的编译及仿真(用开源免费的软件——iverilog+GTKWave)
大家都知道,N位加
法器
得出来的出来的和最多是N+
china_zcc
·
2015-05-20 20:23
八位串行乘
法器
的Verilog测试文件和DO文件
串行乘
法器
的Verilog文档在http://blog.csdn.net/fantasy_wxe/article/details/6787055点击打开链接中介绍的很明确,不在赘述。
limanjihe
·
2015-05-19 00:16
SWIFT Function
其返回值是放在未尾的,如以下定义一个加
法器
: func add(number1 num1:Int,number2 num2:Int) -> Int{ return
·
2015-05-09 14:00
function
(1)——构建多位加
法器
计算机做加法是对人做加法的模拟。那么人是怎么做加法的呢?让我们来考察一下。人做加法的过程从一般的情况出发,比如怎么计算“24+35”呢?我们把个位与个位相加,4+5=9,再把十位与十位相加,2+3=5,再合起来得到59.这就是所谓的分而治之(divideandconque)了,用打仗的话来说,也可以说是各个击破。显然,会做两个多位数加法的基础是会做两个一位数加法。那么,问题又来了,如何做两个一位数
国栋
·
2015-05-07 20:00
进位
计算机做加法
全加器
加法器
多位加法器
(2)——构建一位加
法器
在上一篇中,通过对多位加
法器
结构的分析,我们得到了一位加
法器
的原型,也即所谓的全加器(FullAdder,FA):现在考虑如何去实现它。
国栋
·
2015-05-07 20:00
进位
计算机做加法
全加器
两次进位
半加器
Xilinx Vivado的使用详细介绍(3):使用IP核
IP核(IPCore)Vivado中有很多IP核可以直接使用,例如数学运算(乘
法器
、除
法器
、浮点运算器等)、信号处理(FFT、DFT、DDS等)。
jzj1993
·
2015-05-06 11:54
可编程逻辑
实验报告
实验目的掌握组合逻辑电路的基本分析和设计方法理解半加器和全加器的工作原理并掌握利用全加器构成不同字长加
法器
的各种方法学会元件例化的方式进行硬件电路设计学会利用软件仿真实现对数字电路的逻辑功能进行验证和分析实验内容设计实现逐次进位加
法器
越今朝
·
2015-05-02 13:25
《C语言及程序设计》实践参考——命令行加
法器
返回:贺老师课程教学链接【项目3-命令行加
法器
】建立项目add,设计一个用命令行能运行的加
法器
,其中加数的个数不定:输入:add13输出4输入:add13.126输出12.1输入:add13a6输出:命令行中出现非法运算量
sxhelijian
·
2015-05-02 11:00
modelsim使用流程
3、添加文件(这里可以根据自己所用的硬件语言而定,我使用的是Verilog,所用我选择Verilog,如果是用VHDL就选择VHDL)4、编写代码,我这里就随便打一个16位进制加
法器
,里面缺了一个else
溪江月
·
2015-04-27 21:05
FPGA现场可编程门阵列
神经网络与人工智能No0-导言(笔记版)
神经元的基本元素:突触、加
法器
、偏置、激活函数。神经元数学表示:Uk的称呼:线性组合器的输出Vk=Uk+Bk的称呼:诱导局部域、激活电位。偏置的作用是为Uk做仿射变换。
summeney
·
2015-04-23 22:00
神经网络与人工智能No0-导言(笔记版)
神经元的基本元素:突触、加
法器
、偏置、激活函数。神经元数学表示:Uk的称呼:线性组合器的输出Vk=Uk+Bk的称呼:诱导局部域、激活电位。偏置的作用是为Uk做仿射变换。
summeney
·
2015-04-23 22:00
暗黑3全能法戒怎么样 全能法戒属性介绍
戒指介绍很久以前,
法器
师们在一场暴风雪中举行了一场秘密法会,他们将所有人聚集的能量灌注到一件
法器
之中,创造出了一枚能使佩戴者操控所有
佚名
·
2015-04-21 16:22
暗黑3元素律法怎么样 暗黑3元素律法戒指属性获取方法
戒指介绍很久以前,
法器
师们在一场暴风雪中举行了一场秘密法会,他们将所有人聚集的能量灌注到一件
法器
之中,创造出了一枚能使佩戴者操控所有元素力量的强大指环。
佚名
·
2015-04-18 09:42
计算机进化过程
>>机械计算机1642至1643年,巴斯卡(BlaisePascal)为了帮助做收税员的父亲,他就发明了一个用齿轮运作的加
法器
,叫“Pascalene”,这是第一部机械加
法器
。
猩猩猿人
·
2015-04-08 22:00
计算机
加
法器
/* 问题描述 输入一个字符串表达式,输出它的结果,表达式中只包含加法和减法,且运算均在整数范围之内。 输入格式 一行,字符串表达式。表达式长度不会超过100。表达式最少有一项,且以等号=结束 输出格式 一行,输出结果。 样例输入 2+2345+913-3= 样例输出 3257 */ #include usingnamespacestd; intmain(){ charch; intsum,n
u013096666
·
2015-04-08 13:00
加法器
计算机组成原理期末总复习(1)
计算机组成原理期末总复习(1)第四章数值的机器运算4.1基本算术运算的实现计算机最基本的算术运算为加法运算,最基本的运算部件为加
法器
。加
法器
由全加器再配以其它必要的逻辑电路组成。
车晋强
·
2015-03-05 14:44
other
[禅悟人生]不自律的品性如堕落的瓷瓶
守心法师见学僧都以探询的眼光看着自己,便语气凝重地说:“一抔泥土,不知经历了多少工序,经过多长时间的煅烧,才超脱成珍贵的瓷瓶,被我们摆上了神圣的供桌,成为一件高贵圣洁的
法器
。
·
2015-02-04 10:00
人生
上一页
48
49
50
51
52
53
54
55
下一页
按字母分类:
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X
Y
Z
其他