E-COM-NET
首页
在线工具
Layui镜像站
SUI文档
联系我们
推荐频道
Java
PHP
C++
C
C#
Python
Ruby
go语言
Scala
Servlet
Vue
MySQL
NoSQL
Redis
CSS
Oracle
SQL Server
DB2
HBase
Http
HTML5
Spring
Ajax
Jquery
JavaScript
Json
XML
NodeJs
mybatis
Hibernate
算法
设计模式
shell
数据结构
大数据
JS
消息中间件
正则表达式
Tomcat
SQL
Nginx
Shiro
Maven
Linux
Systemverilog
UVM实战读书笔记-----持续更新
附录:
systemverilog
使用简介
SystemVerilog
是一种面向对象的编程语言,面向对象语言最重要的特点是所有的功能都要在类(class)里实现。
eachanm
·
2023-07-17 16:30
IC验证
UVM
systemverilog
中rand机制的 $urandom_range()函数
使用
SystemVerilog
中的rand机制,经常会用到$urandom_range()这个函数,得到一个无符号的整型数.语法:$urandom_range(intunsignedmaxval,intunsignedminval
一只迷茫的小狗
·
2023-07-17 02:42
verilog
FPGA
fpga开发
IC学习笔记:
SystemVerilog
队列及数组方法
队列和数组是
SystemVerilog
中常用的数据结构,它们可以用来存储和操作一组数据。本文将介绍
SystemVerilog
中队列和数组的常用方法。
一只迷茫的小狗
·
2023-07-16 16:17
verilog
FPGA
fpga开发
SystemVerilog
教程第二章数据类型:队列
SystemVerilog
队列
SystemVerilog
queue(队列)是一种FirstInFirstOut(先入先出)方案,您可为其设置变量大小,用于存储相同数据类型的各种元素。
一只迷茫的小狗
·
2023-07-16 16:46
verilog
FPGA
fpga开发
保姆级教程超硬核包会,
SystemVerilog
SV 断言
前言:仿真的时候,需要观察某些程序运行的结果是否符合预期,这一需求一般是通过断言来实现。断言对于程序的检查。断言是设计的属性的描述。如果被检查的属性与期望不同断言失败。如果被禁止在设计中出现的属性在仿真中出现,也断言失败。断言可以在功能仿真中不断被监视。相同的断言可以在仿真中也可以在形式验证中复用。断言的评估和执行包括一下三个阶段:预备(Preponed)在这个阶段,采样断言变量,而且信号或变量的
Dypypp
·
2023-07-16 09:18
System
Verilog
fpga开发
32位MIPS单周期CPU设计
参考实验书目:《数字设计和计算机体系结构》机械工业出版社,7章实验平台:vivado语言:
systemverilog
一、实验信息略...咱的专业课实验报告二、实验内容(一)设计原理及实验方案总体设计思路
adriaW
·
2023-07-15 21:33
计算机组成实验
fpga开发
硬件架构
Verilog 学习之路
代码段generategenvari;for(i=0;i<8;i=i+1)begin:my_block_nameassignout[i]=in[8-i-1];endendgenerate解释该代码使用了
SystemVerilog
SystemVerilog
SystemVerilog
码尔泰
·
2023-07-15 15:43
技术
FPGA
Verilog
学习
fpga开发
SystemVerilog
logic、wire、reg数据类型详解
SystemVerilog
的一大改进是支持logic数据类型,它在多数时候可以不加区分地替代wire和reg。但如果不熟悉logic的限制随意使用,也容易遇到意想不到的错误。
一只迷茫的小狗
·
2023-06-23 00:48
verilog
FPGA
fpga开发
dc综合与pt静态时序分析(中文)_Design Compiler Lab自制中文视频分享(B站)
源自:微信公众号“数字芯片实验室”DesignCompiler是业界主流的逻辑综合工具,用来将可综合的RTL代码(VHDL、Verilog、
Systemverilog
)综合成和特定工艺库相关的门级网表,
weixin_39966644
·
2023-06-22 03:28
聊聊
Systemverilog
中的function in constraints
有些情况下,constraint不能简单用一行来表达,而是需要复杂的计算,如果都写到constraintblock内部就比较复杂,而且很乱,这时候可以调用functions来约束随机变量。在constraint内调用function就称为”functioninconstraints”。它的格式如下:constraintconstraint_name{rand_var==function_call(
谷公子的藏经阁
·
2023-06-19 00:35
SystemVerilog
Systemverilog
function
constraint
求解
randomize
Systemverilog
中的Driving Strength讲解
在
systemverilog
中,net用于对电路中连线进行建模,drivingstrength(驱动强度)可以让net变量值的建模更加精确。
谷公子的藏经阁
·
2023-06-19 00:34
SystemVerilog
Systemverilog
drive
strength
Net
strength
level
多驱动
傻白入门芯片设计,
SystemVerilog
Assertion(SVA)学习(二十一)
目录一、什么是断言?二、断言分类?(一)从断言的功能上来说,广义的断言分为四类,分别满足不同的验证需要。(二)从断言的触发方式上来分,断言又可以分成两大类:即时断言和并发断言。三、如何在RTL设计中嵌入SVA断言四、assert和cover的查看、删除和恢复(一)show_prop查看(二)rm_prop删除(三)add_prop恢复五、约束及其种类(一)常量(二)SVA约束(三)时钟域约束六、检
好啊啊啊啊
·
2023-06-18 03:38
芯片设计入门
数字芯片前端验证
形式验证
SVA
断言
【RISC_V课程笔记】导论
取指(if),译码(id),执行(ex)cpu中断系统的设计以cpu为核心的SOC设计,完成rom,ram,time的外设的设计用uvm对cpu进行验证(
systemverilog
)理论数字逻辑电路数字信号
Dovake
·
2023-06-13 10:34
笔记
使用 VHDL、Verilog、
SystemVerilog
、SystemC、HLS(C++、OpenCL)进行数字硬件建模
目录引言1.数字硬件建模概述1.1硬件描述语言1.2系统级建模语言2.抽象级别的硬件模型2.1逻辑级别模型2.2寄存器传输级别模型(RTL)2.3事务级模型(TLM)2.4行为/算法级别模型3.硬件模型的设计和编码实践3.1模块化设计3.2设计可重用性3.3编码风格4.硬件模型的集成和验证4.1集成4.2验证5.从模型到硬件的流程5.1设计约束规范5.2逻辑综合5.3技术映射、布局和布线5.4时序
快撑死的鱼
·
2023-06-10 16:27
c++
开发语言
Wavious DDR (WDDR) 物理接口 (PHY)硬件
systemVerilog
实现
目录1.引言2.WDDRPHY的
SystemVerilog
实现3.控制器的设计4.验证和仿真4.1功能验证4.2性能验证4.3时序验证5.结论1.引言在高性能计算领域,快速的内存访问和数据传输是至关重要的
快撑死的鱼
·
2023-06-10 06:10
fpga开发
#
systemverilog
# 关于
systemverilog
中 priority if 的使用
Priorityifevaluatesalltheconditionsinsequentialorder.Inthefollowingconditionssimulatorissuearuntimeerror/warningNoconditionistrueorfinalifdoesn’thavecorrespondingelse另一篇介绍uniqueif的文章(Link)一、priorityif
那么菜
·
2023-06-08 00:15
systermverilog
systemverilog
#
systemverilog
# 关于随机约束 unique、unique if
前言使用关键字unique定义的
SystemVerilog
约束称为唯一约束。在随机化中,使用唯一约束可以生成变量集的唯一值或数组的唯一元素。
那么菜
·
2023-06-08 00:45
systemverilog
#
systemverilog
# 关于流操作符>>和<<引发的思考
前言对于流操作符,相比大家都不陌生,在实际项目中运用特别广泛。今天我们通过几个小例子,来回顾和深层认识一下该操作符。概念流操作符(bit-stream),表示方式为{>>{}}和{>|{array}:表示操作的对象是一个数组指针byte:表示操作的对象的以byte==8bit为单位进行截取原理图解释如下:更多练习://a={>{array}};//0x8c00a4ff,以单bit为整体//a={>
那么菜
·
2023-06-08 00:33
systermverilog
systemverilog
我的 System Verilog 学习记录(11)
引言本文简单介绍
SystemVerilog
的其他程序结构。
在路上-正出发
·
2023-04-21 07:18
SystemVerilog
语法专栏
学习
System
Verilog
Questa
Sim
我的 System Verilog 学习记录(9)
引言本文简单介绍
SystemVerilog
的类。
在路上-正出发
·
2023-04-21 07:17
SystemVerilog
语法专栏
System
Verilog
学习
class
SystemVerilog
结构体
目录结构体1.基本信息2.结构体赋值2.1结构体初始化2.2结构体成员赋值2.3结构体表达式赋赋值2.4默认值2.5赋值的优先级3.压缩和非压缩本文所有源码可通过后台回复“结构体”获得结构体1.基本信息结构体由关键字struct声明,且结构体中的成员可以是任何数据类型如下定义一个结构体:struct{inta,b;//32位int类型bitc;//1位bit类型logic[7:0]din;//8位
行走的BUG永动机
·
2023-04-21 07:52
#
systemverilog
SystemVerilog
静态变量和动态变量
在Verilog-2001标准中任务或函数中的变量可以定义为动态变量动态变量的存储区是由软件工具动态分配的(每次调用都会建立新的存储区),访问结束后空间被释放动态变量主要用来描述在测试程序、抽象系统级、交易级或总线功能模型中的验证程序动态变量也可以用来编写可重入的任务(当一个任务的前一次调用仍在进行时,可以再次调用)在SV中,有static和automatic两个关键字,用来表示声明的变量是静态还
行走的BUG永动机
·
2023-04-21 07:56
#
fpga开发
数字硬件建模
SystemVerilog
-通信总线建模 --Interface端口的概念
来到了SV最后一部分,预计三篇文章,两周更完,所有的思维导图如下:概述
SystemVerilog
Interface是modport的一种,但比简单的输入、输出或输入输出端口的功能更多。
碎碎思
·
2023-04-20 14:43
单片机
fpga开发
嵌入式硬件
SV Testbench 案例学习与思考-1
引言关于
Systemverilog
语法学习的专栏博客已经告一段落,现在结合chipverify官网给出的几个testbench案例,利用QuestaSim平台实做一些练习。
在路上-正出发
·
2023-04-17 07:00
SystemVerilog
&
Questa
Sim
学习
systemverilog
testbench
数字IC前端面试问题总结
CSDN博客-如何成为一名高级数字IC设计工程师,数字IC技能拓展,基于SoC的卷积神经网络车牌识别系统设计领域博主2、小汪的IC自习室(3条消息)小汪的IC自习室的博客_CSDN博客-数字IC设计,
SystemVerilog
大雄大熊a
·
2023-04-16 17:07
面试
VCS2 VCS仿真的基础
-sverilog:表示支持
SystemVerilog
语言。+v2k:编译支持Verilog2001标准1)厂商提供的工艺库调用-v:找哪个工艺库文
酒后敲代码
·
2023-04-16 09:19
IC仿真工具
fpga开发
SystemVerilog
| UVM | 使用Objection管理仿真结束
今天来聊一聊所谓的Objection机制。Objection是UVM框架中用来同步不同组件的相同phase,以及决定当前测试用例什么时候结束(end-of-test)的机制,这也是用户在拿到UVM之后最直白的使用场景。本篇文章除了介绍Objection的工作原理和常规使用方式,还会介绍Objection的一些非常规用法。01、Objection工作原理为什么把同步phase或者说管理仿真结束的机制
IC观察者
·
2023-04-14 10:33
IC设计
集成电路
硬件工程
fpga开发
Vscode配置Verilog开发环境
一、插件安装在Vscode扩展中搜索verilog安装下面几个插件Verilog-HDL/
SystemVerilog
/Bluespec
SystemVerilog
可实现功能:语法高亮(颜色较少)自动补全(
初雪白了头
·
2023-04-13 23:17
农夫笔记
vscode
ide
编辑器
关于如何自学数字验证+
SystemVerilog
+UVM,该怎么进行?
首先我们来看自学的路径:第一阶段首先你需要有基本的数电基础,明白数字电路运行的原理和一些基本的常识,这个时候推荐你看《数字电路基础》第二阶段在懂得了基础的数字电路原理和常识之后,你需要将该数字电路转换成实际的硬件,即需要用硬件描述语言去把这个电路给实现出来,市面上用的最多的还是Verilog,此时需要学习如何用Verilog硬件描述语言与一个具体的数字电路联系起来,需要建立硬件设计的思维和概念,学
程序员Marshall
·
2023-04-13 21:31
UVM
芯片
Josh‘s Notes:
SystemVerilog
验证 (Part 3 — 过程语句和子程序)
文章目录1.过程语句2.任务、函数以及`void`函数3.任务和函数概述3.1.在子程序中移除`begin...end`4.子程序参数4.1.C语言风格的子程序参数4.2.参数的方向4.3.高级的参数类型4.4.参数的默认值4.5.采用名字进行参数传递4.6.常见的代码错误5.子程序的返回5.1.返回(`return`)语句5.2.从函数中返回一个数组6.局部数据存储6.1.自动存储6.2.变量的
Josh Gao
·
2023-04-13 12:18
电子/通信工程师的修养
#
SystemVerilog
SystemVerilog
过程语句
子程序
在verilog中嵌入perl脚本
写了个可以处理嵌入到
systemverilog
文件中的perl的脚本.#expand./embaded_perl.pl-e-itest.sv[-nochk]#clean.
殷泽润
·
2023-04-13 03:41
systemverilog
perl
第2章
SystemVerilog
断言
第2章
SystemVerilog
断言XtremeDV2018-04-1917:42:06分类专栏:SVA
systemverilog
SystemVerilog
Assertions2.1什么是断言?
zzgnew
·
2023-04-10 14:20
编程语言
电力电子转战数字IC20220630day36——路科实验3a
遇到一个新的东西,以及读完代码后的一些不了解的知识点如下semaphore[SV]
SystemVerilog
Semaphore_sec王的博客-CSDN博客SemaphoreSemaphore是一个
SystemVerilog
广工陈奕湘
·
2023-04-10 14:18
fpga开发
【数字IC前端】
SystemVerilog
断言(SVA)语法
转载自新浪博客1.SVA的插入位置:在一个.v文件中:2.断言编写的一般格式是:3.带参数的property、带参数的sequence4.property内部可以定义局部变量,像正常的程序一样。5.语法1:信号(或事件)间的“组合逻辑”关系:6.语法2:在“时序逻辑”中判断独立的一根信号的行为:7.语法3:在“时序逻辑”中判断多个事件/信号的行为关系:8.语法4:多时钟域联合断言:一句断言可以表示
礼茶的贤
·
2023-04-10 14:17
数字IC设计
数字IC验证
systemverilog
Systemverilog
第四课 Interface and program
P13InterfaceandProgram正式运行testcase之前需要做好的准备:1.对CLK和RESET进行规划,DUT和TB都需要时钟和复位信号。2.对于第一个testcase用log记录仿真过程,并用display显示仿真结果。3.首先排除tb的错误,再检查tb给dut环境设置是否错误,全都没错才去检查RTL的错误。Q:logic数据类型有几个状态?A:4个,01xzInterface
qq_38453556
·
2023-04-10 14:46
System
Verilog
IEEE Standard for
SystemVerilog
Unified Hardware Design第16章Assertions16.1-16.4断言翻译(一)
16.1-16.41、断言的类别:并发断言(concurrentassertions)和立即断言(immediateassertions)两种。立即断言与仿真一块使用;并发断言基于时钟语义并使用表达式的采样值。一个断言能指定系统的行为。断言主要用于验证设计的行为,而且可用于提供功能覆盖,以及对用于验证不符合假设要求的输入激励(inputstimulus)进行标记。断言以要被执行验证函数的断言语句显
想喝奶茶啾啾
·
2023-04-10 14:46
Assert
systemverilog
SystemVerilog
- 断言Assertion语法简单介绍
参考博文:http://blog.sina.com.cn/s/blog_4c270c730101f6mw.html断言assertion被放在verilog设计中,方便在仿真时查看异常情况。当异常出现时,断言会报警。一般在数字电路设计中都要加入断言,断言占整个设计的比例应不少于30%。以下是断言的语法:1.SVA的插入位置:在一个.v文件中:moduleABC();rtl代码SVA断言endmod
bandao6867
·
2023-04-10 14:13
c/c++
Systemverilog
中interprocess间synchronization和communication的记录
1.同步和通讯机制的种类
systemverilog
提供了三种方式:namedeventtype(->,@)、semaphore、mailbox。
谷公子的藏经阁
·
2023-04-10 14:12
SystemVerilog
Systemverilog
synchronization
Semaphore
Mailboxes
Event
SystemVerilog
HVL:并发断言 之 property
目录1.property介绍1.1.蕴含算子空成功1.2.disableiff(foo)1.3.时钟1.4.局部变量与参数传递2.property应用2.1.assertproperty断言控制2.2.coverproperty2.3.expectproperty3.例子3.1.单bit信号data_vld连续有效时间跨度最多为5拍3.2.req信号(单拍脉冲)有效之后(含当拍),ack信号有效之
Starry丶
·
2023-04-10 14:42
数字IC验证方法学
嵌入式硬件
数字IC
IC验证
Systemverilog
中Assertions的记录
1.assertionstatementAssertionstatement有以下几种类型:assert:指定DUT的property,必须要verifyassume:给验证环境指定假设的property。simulator检查这些property,但是formal工具会使用这些信息来产生输入激励。cover:监控property评估的coveragerestrict:用于指定property是f
谷公子的藏经阁
·
2023-04-10 14:10
SystemVerilog
Systemverilog
assertion
assume
property
SVA
SystemVerilog
----任务(task)和函数(function)
目录1.概述2.任务和函数的区别3.任务和函数中的参数传递(值传递和引用传递)4.任务函数返回值5、program块和module模块的区别1.概述类似于C语言,函数(function)和任务(task)可以提高代码的复用性和整洁度。它们的目的都在于将大型的过程块切分为更细小的片段,而便于阅读和代码维护。相比于大家更为熟悉的函数,SV引入了任务的概念。function与task之间有相同点和不同点
一点一点的进步
·
2023-04-10 11:00
System
verilog
systemverilog
开发语言
Systemverilog
中Clocking blocks的记录
1.clockingblock的作用Clockingblock可以将timing和synchronizationdetail从testbench的structural、functional和proceduralelements中分离出来,因此sampletimming和clockingblock信号的驱动会隐含相对于clockingblock的clock了,这就使得对一些keyoperations
谷公子的藏经阁
·
2023-04-10 01:26
SystemVerilog
Systemverilog
Clocking
interface
clockvar
cb
Systemverilog
中operators和expression的记录
1.EqualityoperatorsEqualityoperators有三种:Logicalequality:==,!=,该运算符中如果运算数包含有x/z态,那么结果就是x态。只有在两边的bit都不包含x/z态,最终结果才会为0(False)或1(True)Caseequality:===,!==,该运算符中会把两边运算数的x/z态都考虑进去,最终结果肯定是0或1Wildcardequality
谷公子的藏经阁
·
2023-04-10 01:23
SystemVerilog
Systemverilog
Operator
Expression
evaluation
assignment
数字IC验证:System Verilog -接口Interfaces
文章目录1引言Introduction2接口中的端口PortsinInterface3Modports4例程:mem_if.sv写在前面:最近实习期间自学
SystemVerilog
,找到一个英文教程Asic-world
IC Beginner
·
2023-04-09 17:41
数字IC验证
UVM
Systemverilog
EDA IP国外学习网站
有些链接可能要设置浏览器代理才能访问!!!SemiWiki-AllThingsSemiconductor!(半导体届的维基百科,罗列了各EDA,IP等供应商和行业资讯)WWW.TESTBENCH.INVerificationAcademy-Themostcomprehensiveresourceforverificationtraining.|VerificationAcademymentor的学
Holden_Liu
·
2023-04-09 13:54
UVM
效率提升
html5
html
我的 System Verilog 学习记录(13)
引言本文简单介绍
SystemVerilog
的断言。
在路上-正出发
·
2023-04-08 04:41
SystemVerilog
语法专栏
学习
system
verilog
testbench
开发语言
吃透Chisel语言.01.大家Verilog和VHDL用得好好的,为什么要整个Chisel语言出来?
Verilog和VHDL这种早在上世纪八十年代就开发出来的成熟硬件描述语言,也可能会是在Verilog基础上建立、结合了硬件验证语言(HVL,HardwareVerificationLanguage)的
SystemVerilog
计算机体系结构-3rr0r
·
2023-04-07 11:10
吃透Chisel语言!!!
risc-v
fpga开发
Chisel
Verilog
VHDL
SystemVerilog
和UVM到底是啥关系?
SystemVerilog
和UVM到底是啥关系?UVM提供了丰富的基类库和验证方法学,并且被主流的EDA工具、IP供应商和设计公司采用。现在,使用
SystemVerilog
基本上等同于使用UVM验证。
蛋炒饭的蛋蛋
·
2023-04-06 11:32
UVM
Summary
【数字IC前端】浅谈
SystemVerilog
与UVM标准的发展(上)
验证语言的发展
SYSTEMVERILOG
的发展UVM的发展UVM面临的问题A.UVMMessagingB.UVMTransactionRecording结束语浅谈
SystemVerilog
与UVM标准的发展
礼茶的贤
·
2023-04-06 11:54
数字IC验证
systemverilog
【数字IC前端】浅谈
SystemVerilog
与UVM标准的发展(下)
验证范围的变更对UVM提出的要求结论浅谈
SystemVerilog
与UVM标准的发展(上)上篇主要分析一下
Systemverilog
与UVM标准的发展历程。
礼茶的贤
·
2023-04-06 11:54
数字IC验证
systemverilog
上一页
2
3
4
5
6
7
8
9
下一页
按字母分类:
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X
Y
Z
其他