E-COM-NET
首页
在线工具
Layui镜像站
SUI文档
联系我们
推荐频道
Java
PHP
C++
C
C#
Python
Ruby
go语言
Scala
Servlet
Vue
MySQL
NoSQL
Redis
CSS
Oracle
SQL Server
DB2
HBase
Http
HTML5
Spring
Ajax
Jquery
JavaScript
Json
XML
NodeJs
mybatis
Hibernate
算法
设计模式
shell
数据结构
大数据
JS
消息中间件
正则表达式
Tomcat
SQL
Nginx
Shiro
Maven
Linux
Verilog电路设计
system
verilog
之SVA断言
文章目录断言简介sequnce语法property语法assert/cover/assumebind关键字的使用"|->"与"|=>"含义与区别常见函数常用语法disableiff的用法参考文献断言简介断言用于check设计,可以说断言check是最原始的check,最基础的check;只有满足所以断言都通过了,也就是符合设计者本身的了,才可以交给验证工程师进行进一步的功能验证;可以说断言可以保证
汶.郑
·
2023-10-20 02:30
verilog
经验分享
verilog
System
verilog
断言介绍(三)
3.2.3LOCATIONINPROCEDURALBLOCKS直接断言是在其执行所在位置的变量所持有的任何值上操作。这可能与信号在过程结束时所决定的值不同。以下是一个示例,展示了一个放置不当的直接断言的过程:always_comb begin gnt = 4’b0; no_conflict: assert final ($onehot0(gnt)); if (|req)
一只迷茫的小狗
·
2023-10-20 02:58
Systemverilog
fpga开发
systemverilog断言
System
verilog
断言介绍(二)
它们通常被认为是System
Verilog
过程代码的一部分,并在代码评估期间访问时进行评估。它们没有时钟或复位的概念(除非有时钟/复位控制其封闭的过程块),因此无法验证跨越时间的行为。
一只迷茫的小狗
·
2023-10-20 02:58
Systemverilog
SystemVerilog断言
System
verilog
断言介绍(一)
3Introductiontosystem
verilog
assertions为了利用形式验证(FV)的力量来证明设计的正确性,首先必须有一种表达您的设计是否正确的方式。
一只迷茫的小狗
·
2023-10-20 02:58
Systemverilog
SystemVerilog断言
system
verilog
断言(SVA)
System
verilog
有4个输出消息的函数:info,info,warning,error和error和fatal报错信息如下:3、并发断言:你可以认为它是一个连续运行的模块,
狮子座硅农(Leo ICer)
·
2023-10-20 02:28
verilog
System
Verilog
Assertion断言学习
1、何为断言,有何作用断言是一种描述性语言,用于描述设计的属性(property),可以完美地描述时序相关的状况。如果允许的设计属性不符合我们的期望,则断言失败;如果被禁止的设计属性发生,则断言失败。属性可以从设计的功能描述中推知,并被转换为断言(SVA)。断言通常又被成为监视器或检验器。断言的评估和执行包括以下三个阶段:预备(Preponed):在这个阶段,采样断言变量,而且信号(net)或变量
谷公子的藏经阁
·
2023-10-20 02:26
SystemVerilog
断言
Assertion
systemverilog
UVM
property
System
verilog
断言介绍(四)
3.3SEQUENCES,PROPERTIES,ANDCONCURRENTASSERTIONS3.3.1SEQUENCESYNTAXANDEXAMPLES一个序列是在一段时间内发生的一组值的规范。构建序列所使用的基本操作是延迟规范器,形式为##n(表示特定数量的时钟)或##[a:b](表示在a和b个时钟之间的可变延迟)。特殊符号$可用于序列的上界,指定可能无限数量的周期。当序列的指定值全部发生时,
一只迷茫的小狗
·
2023-10-20 02:24
Systemverilog
SystemVerilog断言
RGB转HSL的FPGA实现
这个视频再来讲个稍微复杂点的:如何手写
Verilog
用FPGA实现RGB转HSL。HSL就是色调、饱和度、亮度颜色模式。对此不了解的可以看看《视频调色基础:什么是HSL?色相、饱和度、亮度?》
qq_32010099
·
2023-10-20 02:47
fpga开发
图像处理
人工智能
Verilog
刷题HDLBits——Exams/2014 q3c
Verilog
刷题HDLBits——Exams/2014q3c题目描述代码结果题目描述Giventhestate-assignedtableshownbelow,implementthelogicfunctionsY
不会敲代码的研究生不是好空管
·
2023-10-20 02:35
fpga开发
Verilog
刷题 - Exams/2014 q3c
moduletop_module(inputclk,input[2:0]y,inputx,outputY0,outputz);reg[2:0]next_state;parameters0=3'b000,s1=3'b001,s2=3'b010,s3=3'b011,s4=3'b100;always@(*)begincase(y[2:0])s0:next_state=x?s1:s0;s1:next_st
Tuffy77
·
2023-10-20 02:04
fpga开发
数字秒表回看、正计、倒计数跑表
verilog
仿真/代码
名称:数字秒表设计正计、倒计数、回看跑表软件:Quartus,ModelSim语言:
Verilog
HDL代码功能:《数字秒表设计》项目要求(1)计时精度1ms,计时范围00:00.000~99:59.999
蟹代码丫
·
2023-10-19 23:47
fpga开发
Verilog
秒表
跑表
仿真
数字秒表
verilog
电子秒表跑表,代码/视频
名称:数字秒表
verilog
电子秒表跑表软件:Quartus语言:
Verilog
代码功能:设计电子秒表,秒表时间精确到0.01秒,可通过按键控制秒表启动、暂停、复位。
蟹代码丫
·
2023-10-19 23:44
fpga开发
秒表
跑表
verilog
System
Verilog
Assertions应用指南 Chapter1.34 :SVA中的多时钟定义
SVA允许序列或者属性使用多个时钟定义来采样独立的信号或者子序列。SVA会自动地同步不同信号或子序列使用的时钟域下面的代码显示了一个序列使用多个时钟的简单例子。sequences_multiple_clocks;@(posedgeclk1)a##1@(posedgeclk2)b;endsequence序列s_multiple_clocks检验在时钟“clkl”的任何上升沿,信号“a”为高,接着在时
一只迷茫的小狗
·
2023-10-19 22:02
Systemverilog
fpga开发
System
Verilog
Assertions应用指南 Chapter1.35“ matched”构造
任何时候如果一个序列定义了多个时钟,构造“matched”可以用来监测第一个子序列的结束点。序列s_a查找信号“a”的上升沿。而信号“a”是根据时钟“ckl”来采样的。序列s_b查找信号“b”的上升沿。信号“b”则是根据时钟“ck2”来样的。属性p_match验证在给定的时钟“clk2”的上升沿,如果序列s_a匹配,那么在一个周期后,序列s_b也必须为真。sequences_a;@(posedge
一只迷茫的小狗
·
2023-10-19 22:02
Systemverilog
fpga开发
System
Verilog
Assertions应用指南 Chapter1.36“ expect”构造
SVA支持种叫“expect”的构造,它与
Verilog
中的等待构造相似,关键的区别在于expect语句等待的是属性的成功检验。
一只迷茫的小狗
·
2023-10-19 22:02
Systemverilog
fpga开发
System
Verilog
Assertions应用指南 Chapter1.31 在属性中使用形参
可以用定义形参(formalarguments)的方式来重用一些常用的属性。属性“arb”使用了4个形参,并且根据这些形参进行检验。其中还定义了特定的时钟。SVA允许使用属性的形参来定义时钟。这样,属性可以应用在使用不同时钟的相似设计模块中。同样的,时序延迟也可以参数化,这使得属性的定义更具有普遍性。属性首先检査有效开始。在给定的时钟上升沿,如果在信号"a”的下降沿后的2~5个时钟周期内出现信号“
一只迷茫的小狗
·
2023-10-19 22:29
Systemverilog
fpga开发
基于SUMBus或I2C通信协议,使用vivado2017 modsim,循环执行写操作
基于SUMBus及I2C通信协议,使用vivado2017simulation,循环执行写操作,使用
Verilog
HDL代码编写,代码注释非常全面,故不再使用文字描述。
unique小酒馆
·
2023-10-19 20:27
I2C
verilog
fpga
51单片机按键控制数码管0~9_基于proteus的51单片机开发实例24-矩阵键盘(行列式键盘)...
1.基于proteus的51单片机开发实例24-矩阵键盘1.1.实验目的图1矩阵键盘电路本实例我们来学习矩阵键盘(行列式键盘)的
电路设计
、编程实现。目的是通过较少的I/O口来识别多个按键。
weixin_39872624
·
2023-10-19 20:13
51单片机按键控制数码管0~9
单片机如何关掉蜂鸣器
单片机如何只用定时器实现秒表
单片机按键防抖程序
Verilog
中function函数的使用说明
这部分类容是在学习
verilog
期间忽略掉了。首先来看看官方的解释。Function说明语句函数的目的是返回一个用于表达式的值。
爱漂流的易子
·
2023-10-19 19:46
fpga开发
Xilinx DDR4 MIG 的调试
平台:Vivado2021.1芯片:xcku115-flva1517-2-i(active)语言:
Verilog
HDL参考文件:pg150.下载地址pg150-ultrascale-memory-ip.pdf
爱漂流的易子
·
2023-10-19 19:11
fpga开发
DCDC Buck
电路设计
要点
使用提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档文章目录前言一、Buck拓扑二、设计要点1.电感的选择2.PCBlayout要点总结前言电路的电源设计,特别是针对数字应用,Buck是最常见的电压拓扑结构。工作中,经常使用到该电路,记录一下设计要点。一、Buck拓扑最简单和常用的拓扑结构如下:二、设计要点输入输出电容和FB反馈电压计算输出电压,都比较常用和简单,略除不谈。1.电感
奔跑的易明
·
2023-10-19 14:30
EEG
肌点设计
硬件工程
硬件架构
运算放大器基本原理与参数解读-优先看
运算放大器基本原理与参数解读运算放大器的出现,大大降低了硬件模拟前端
电路设计
的难度。
ltqshs
·
2023-10-19 14:00
运放
运放
DCDC-BUCK
电路设计
最近读了SwitchingpowerSuppliersA-Z这本书,整理记录下来。首先常用的buck电路的简图如下所示:(三种常用的拓扑结构的区别在于电感的位置,buck接输出,boost接输入,buck-boost接GND)稳态时,开关导通时间的伏秒能量与开关关闭时的伏秒能量相等,根据,由此得出相等;忽略开关电压与二极管电压,VL=Vi-Vo;VLoff=Vo;同时=;r=/I,r典型值取为0.
薛定谔的猫~~~
·
2023-10-19 14:59
硬件工程
HDL4SE:软件工程师学习
Verilog
语言(四)
因此本节我们先暂停对
verilog
语言的学习,来讨论模拟器的实现,试图给出一个初步的实现,至少能够完成前面一节中给出的应用。
饶先宏
·
2023-10-19 07:19
笔记
编程语言
verilog
c++
基于FPGA的图像直方图统计设计
前言在展开学习之前,我先回答网友的一些提问,问题如下所示:问题1:没有任何基础的想学习FPGA需要先找一本语法书好好复习一下
Verilog
语法吗?我想很多同学都是想把语法全部看一遍,然后再去编写程序。
战斗的青春岁月
·
2023-10-19 02:20
FPGA学习
音乐播放器一首歌蜂鸣器ROM存储
Verilog
,代码/视频
名称:音乐播放器一首歌蜂鸣器ROM存储歌曲软件:Quartus语言:
Verilog
代码功能:设计音乐播放器,播放一首歌,使用开发板的蜂鸣器播放音乐,使用Quartus内的ROMIP核存储音乐文件,简谱存储在
蟹代码丫
·
2023-10-19 02:15
音视频
fpga开发
Verilog
音乐播放器
蜂鸣器
音乐播放器蜂鸣器AX301开发板
verilog
,视频/代码
名称:音乐播放器蜂鸣器播放音乐按键切歌软件:Quartus语言:
Verilog
代码功能:设计一个音乐播放器,使用板子上的蜂鸣器播放歌曲,可以播放三首歌(歌曲任选),通过按键控制切歌,使用led显示音乐节奏
蟹代码丫
·
2023-10-19 02:14
fpga开发
Verilog
AX301
音乐播放器
音乐播放器蜂鸣器ROM存储歌曲
verilog
,代码/视频
名称:音乐播放器蜂鸣器ROM存储歌曲软件:Quartus语言:
Verilog
代码功能:设计音乐播放器,要求至少包含2首歌曲,使用按键切换歌曲,使用开发板的蜂鸣器播放音乐,使用Quartus内的ROMIP
蟹代码丫
·
2023-10-19 02:42
音视频
fpga开发
Verilog
蜂鸣器
音乐播放器
【quartus13.1/
Verilog
】swjtu西南交大:计组课程设计
实验目的:通过学习简单的指令系统及其各指令的操作流程,用
Verilog
HDL语言实现简单的处理器模块,并通过调用存储器模块,将处理器模块和存储器模块连接形成简化的计算机核心部件组成的系统。
guts350
·
2023-10-19 01:28
计算机组成原理
嵌入式硬件
课程设计
Verilog
FPGA实现RGB与HSV的转换
RGB到HSV的转换公式为由于s的范围是0到1,所以用
verilog
实现时,将s扩大256倍,容易表示,当然会丢失精度,其次,这里用到许多除法,笔者用的工具可以直接综合除法,所以这里没有例化除法器,当然
满城風絮
·
2023-10-19 00:36
笔记
fpga
图像处理
贴片电阻材质:了解电子元件的核心构成 | 百能云芯
云芯将带您深入探讨贴片电阻的不同材质,探讨不同材质对电子元件性能的影响,以及在
电路设计
中如何选择适当的贴片电阻材质。在探讨贴片电阻的材质之前,让我们首先了解一下它们的基本构造。
百能云芯
·
2023-10-18 18:27
百能云芯知识库
材质
Design Compiler指南——预综合过程
预综合过程是指在综合过程之前的一些为综合作准备的步骤,包括DesignCompiler的启动、设置各种库文件、创建启动脚本文件、读入设计文件、DC中的设计对象、各种模块的划分以及
Verilog
的编码等等
沧海一升
·
2023-10-18 18:48
逻辑综合
数字IC
DC
综合
Design Compiler (八)——DC的逻辑综合与优化
(本文主要参考虞希清的《专用集成
电路设计
实用教程》来写的总结整理与实验拓展)主要内容有:·DC的逻辑综合及优化
简单同学
·
2023-10-18 18:47
Design
Compiler
Design
Compiler
DC
Design Compiler (一)——前言
参考的书籍有很多,大概如下:虞希清老师的《专用集成
电路设计
实用教程》西电出版社的《数字IC系统设计》好像还有《SoC设计方法与实现》《数字集成
电路设计
与技术》当然,还有synopsys公司的DesignCompil
简单同学
·
2023-10-18 18:16
Design
Compiler
DC
design
compiler
数字
电路设计
得力助手——《Design Compiler User Guide》
在当今数字化时代,电子设备和芯片的需求日益增长,这使得数字
电路设计
变得愈发重要。在数字
电路设计
过程中,使用先进的工具和技术是至关重要的。
移知
·
2023-10-18 18:08
IC
学习
Rhinocers(犀牛)软件在房车设计中的应用
在房车设计过程中,大致包含外观造型设计、内饰设计、底盘设计、水路和
电路设计
等,其中犀牛非常适合做外观和内饰的设计工作,下面结合我的工作经验说说为什么。一、软件简介犀牛是一个功能强大的高级建模软件。
大斧子
·
2023-10-18 17:07
高速
电路设计
----第三章
一、数字信号需要上拉的情况1、一般信号上拉接多大的电阻要看对于芯片的电流要求。看芯片datasheet的I(BHLO)和I(BHHO)两个参数。平时的话:3.3V的上拉为1K~3.3k即可5V的上拉电阻为4.7K到10K即可。2、数字信号的逻辑控制,控制不好,输出端可能会有震荡。主要有三种情况:①、开关时,信号不是马上变低和高。中间会有个过程,叫做非稳态。解决方法:接上拉电阻。②、多个驱动源都能控
客家元器件
·
2023-10-18 16:43
单片机
嵌入式硬件
国产电源管理芯片有哪些?
国产电源管理芯片ic厂家排名一:茂捷(Mojay)深圳市茂捷半导体有限公司创建于2013年,是一家专业从事纯模拟电路和数模混合集成
电路设计
的IC
jacksong2021
·
2023-10-18 13:03
【单片机基础】使用51单片机制作函数信号发生器(DAC0832使用仿真)
文章目录(1)DA转换(2)DAC0832简介(3)
电路设计
(4)参考例程(5)参考文献(1)DA转换单片机作为一个数字电路系统,当需要采集外界模拟量的使用需要进行AD转换,将模拟量转换成数字量,供单片机使用
小明n.n
·
2023-10-18 03:48
单片机基础
单片机
51单片机
嵌入式硬件
高压互锁(HVIL)
文章目录简介高压互锁的作用高压互锁原理高压互锁检测
电路设计
直流源PWM直流源和PWM比较高压互锁常见故障MSD简介高压互锁(HighvoltageInter-lock,简称HVIL),又称高压互锁回路系统
up up day
·
2023-10-17 12:15
汽车电子
汽车电子
HVIL
高压互锁
一位全加器及四位全加器————FPGA
文章目录前言一、一位全加器1、一位全加器的原理图设计2、一位全加器的
Verilog
编程3、上板效果二、四位全加器1、四位全加器的原理图设计2、四位全加器的
Verilog
编程三、总结四、参考资料前言环境:
混子王江江
·
2023-10-17 10:35
FPGA
fpga开发
FPGA突发模式读写DS1302并发送到串口显示
Verilog
代码主要分为4个模块,RTL图如下和模块例化如下,主要包含DS1302模块,按键控制模块,串口通讯模块和DS1302数据向串口模块间的数据准备。//-------------
丧失风口的鸟
·
2023-10-17 10:34
行为级描述
FPGA
Verilog
fpga
verilog
rtc
[HDLBits] Exams/2012 q2fsm
Considerthestatediagramshownbelow.Writecomplete
Verilog
codethatrepresentsthisFSM.Useseparatealwaysblocksforthestatetableandthestateflip-flops
向盟约宣誓
·
2023-10-17 10:33
HDLBits
fpga开发
verilog
fpga
【硬件设计】LED保护
电路设计
LED保护电路二极管D1保护了LED,当端子的正负极接错了的话,D1的反向耐压1KV,LED的方向电压只有5V左右,很好的保护了LED。
徐小强_3ce9
·
2023-10-17 09:37
「硬见小百科」运放电路PCB设计技巧
印制电路板(PCB)布线在高速电路中具有关键的作用,但它往往是
电路设计
过程的最后几个步骤之一。高速PCB布线有很多方面的问题,关于这个题目已有人撰写了大量的文献。
硬见
·
2023-10-17 09:47
硬见小百科
pcb
20220331嵌入式学习心得总结
对于MCU的外围
电路设计
,需要考虑项目各模块所要实现的功能,结合MCU的数据
weixin_48156955
·
2023-10-17 07:25
嵌入式硬件
嵌入式系统基础知识总结
1.3知识产权核(IP核):具有知识产权的、功能具体、接口规范、可在多个集成
电路设计
中重复使用的功能模块,是实现系统芯片(
刘颖Eleven
·
2023-10-17 07:19
物联网
嵌入式
基于单片机的电子秤设计
设计任务及要求.122.2方案选择.122.3方案的论证与分析.132.4对设计方案进行对比分析.14第三章系统硬件部分设计.143.1总体设计.143.2主控制器设计.153.2.1芯片介绍.153.2.2时钟
电路设计
电气_空空
·
2023-10-17 06:45
课程设计
毕业设计
单片机
嵌入式硬件
课程设计
毕业设计
FPGA学习需要的基础能力
1.1.FPGA基础入门阶段主要技能有:硬件和计算机基础,开发环境配置和开发流程,
Verilog
基础语法(设计和验证,至少到状态机),资料获取(科学上网,官方文档读取)进阶技能:接口通信小项目,常用IP
reg_ctrl
·
2023-10-17 06:51
FPGA
fpga开发
学习
IC验证——UVM学习
通信工程学院转载自:https://blog.csdn.net/qq_39815222/article/details/106619641【嵌牛导读】验证是服务于设计的,目前来说,主流的设计语言有两种:
Verilog
归去来兮_c94f
·
2023-10-17 03:37
上一页
30
31
32
33
34
35
36
37
下一页
按字母分类:
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X
Y
Z
其他