E-COM-NET
首页
在线工具
Layui镜像站
SUI文档
联系我们
推荐频道
Java
PHP
C++
C
C#
Python
Ruby
go语言
Scala
Servlet
Vue
MySQL
NoSQL
Redis
CSS
Oracle
SQL Server
DB2
HBase
Http
HTML5
Spring
Ajax
Jquery
JavaScript
Json
XML
NodeJs
mybatis
Hibernate
算法
设计模式
shell
数据结构
大数据
JS
消息中间件
正则表达式
Tomcat
SQL
Nginx
Shiro
Maven
Linux
vivado
4人竞赛数字抢答器
vivado
软件verilog代码ego1开发板
名称:4人竞赛数字抢答器
vivado
软件verilog代码ego1开发板软件:
VIVADO
语言:Verilog代码功能:数字抢答器的设计任务说明:设计一个可供4人竞赛的数字抢答器。
FPGA代码库
·
2024-01-13 04:58
fpga开发
抢答器
verilog
vivado
ego1
vivado
交通灯设计verilog代码ego1板红绿灯时间可修改
名称:
vivado
交通灯设计verilog代码ego1板红绿灯时间可修改软件:
VIVADO
语言:Verilog代码功能:十字路口红绿灯设计;1、每次通行时间可在0-99秒内设定,可以通过按键修改通行时间
FPGA代码库
·
2024-01-13 04:57
fpga开发
ego1
交通灯
vivado
verilog
vivado
使用项目摘要、配置项目设置、仿真设置
使用项目摘要
Vivado
IDE包括一个交互式项目摘要,可根据设计动态更新命令被运行,并且随着设计在设计流程中的进展。项目摘要包括概览选项卡和用户可配置的仪表板,如下图所示。
cckkppll
·
2024-01-12 23:45
fpga开发
vivado
编译设置、执行设置、bit流生成设置
了解更多有关“合成设置”的信息,请参阅
Vivado
中的“使用模拟设置”部分设计套件用户指南:综合(UG901)。注意:您可以在项目中预先合成IP,这会减少合成运行时间。
cckkppll
·
2024-01-12 23:12
fpga开发
Vivado
综合时出现[Synth 8-91] ambiguous clock in event control
废话不多说,我们在使用
vivado
软件进行RunSynthesis综合时,出现这个错误**[Synth8-91]ambiguousclockineventcontrol**如下图所示在网上找了一波,改了一波
Ysu-Slade
·
2024-01-12 22:51
vivado
verilog
fpga
Vivado
Synth8-5535 报错解决办法
报错内容:[Synth8-5535]porthasillegalconnections.Itisillegaltohaveaportconnectedtoaninputbufferandothercomponents.Thefollowingaretheportconnections:InputBuffer:PortIofinstanceclkin1_ibufg(IBUF)inmoduleOthe
QYH2023
·
2024-01-12 21:47
fpga开发
Vivado
裸机工程搭建教程
Vivado
裸机工程搭建教程一、Cygwin生成
vivado
HDL工程教程1、Cygwin软件安装配置第一步为Cygwin软件的安装,软件已经下载好(如下图),直接双击安装,安装教程可以自行百度,网上资料很多
GoUpToTheSky
·
2024-01-12 15:59
ADI官方例程HDL和No-OS生成
Vivado
工程
hdl/tree/main2、No-OS(no-OS-2019_R2.zip):https://github.com/analogdevicesinc/no-OS/tree/main软件工具序号名称说明1
Vivado
2019.12CygwinCygwin
代码匠
·
2024-01-12 15:27
FPGA
ADI
HDL
Vivado
fpga开发
tcl 基础
;#myfirstprintinTclprogram大小写敏感但是在
vivado
中,set_property后边等效set_propertyPARTxcvc1902-vsva2197-2MP-e-S[current_project
斐非韭
·
2024-01-12 09:50
fpga开发
在
Vivado
下利用Tcl实现IP的高效管理
在
Vivado
下利用Tcl实现IP的高效管理https://cloud.tencent.com/developer/article/1736645在
Vivado
下,有两种方式管理IP。
斐非韭
·
2024-01-12 09:15
tcp/ip
fpga开发
网络协议
在FPGA上搭建Cortex-m3软核
在FPGA上搭建Cortex-m3软核前言说是在fpga上搭建,其实还是比较偷懒了,在
Vivado
上进行搭建,比较方便。
黄铠杰echo
·
2024-01-11 16:32
fpga
fpga开发
经验分享
嵌入式硬件
江山易改本性难移之ZYNQ SDK QSPI固化bug及其解决方法
之前在
Vivado
2018.3通过QSPI方式固化程序时出现问题,显示flash擦除成功,但最后总是不能写入到flash中。
Tracy喵喵
·
2024-01-11 14:01
#
应用笔记
bug
ZYNQ
QSPI固化
QSPI启动失败
EBAZ4205矿渣板zynq无法加载固件
2.故障排查在
VIVADO
中创建工程,添加了AXI_GPIO,导出到SDK中,在SDK中创建一个FSBL,下载BIT流后,使用FSBL进行单步调试。
bifudoph
·
2024-01-11 10:11
EBAZ4205
fpga开发
嵌入式硬件
矿渣板EBAZ4205上电后能够启动固件,JTAG无法扫描到PL和PS
但是使用JTAG无法在
VIVADO
中扫描到ZYNQ的PL和PS。
bifudoph
·
2024-01-11 10:11
EBAZ4205
fpga开发
嵌入式硬件
JTAG 扫描不到EBAZ4205 ZYNQ PS原因分析
1.MIO[2]引脚电平通过JATG调试ZYNQ芯片时,发现在进行PS端应用程序debug情况下提示无法找到ARM设备;但是在
Vivado
环境下能连接到设备,就是说PL端可以正常使用,PS端无法使用。
bifudoph
·
2024-01-11 10:08
EBAZ4205
单片机
嵌入式硬件
fpga开发
vivado
实现4x4阵列乘法器
vivado
实现4*4阵列乘法器阵列乘法器阵列乘法器的原理代码模块lie1模块lie234模块超前进位加法器超前进位模块以及最后一个模块全加器仿真文件最后附上全部代码阵列乘法器经历了苦痛的在家网课,上课也没怎么认真听
vparadox
·
2024-01-11 08:25
fpga
vivado
基本使用流程(二、设置ip核)
1、2、3、4、5、6、7、可以查看到生成的ip核8、找到例化模板,日常使用中可以根据需要设置。这里我们直接找到老师发的源文件,里面已经例化完成9、10、
fpga学习者
·
2024-01-11 08:25
vivado使用教程
fpga
经验分享
Vivado
中关联ModelSim
目录一、检查版本对应关系二、安装好相对应的版本后,开始库编译三、在
Vivado
中加入ModelSim调用四、将
Vivado
的仿真库添加到ModelSim中五、实际运行一、检查版本对应关系首先检查
Vivado
一一念安
·
2024-01-11 08:20
fpga开发
利用
vivado
实现加减法器的设计
加减法器的设计一、实验目的和要求二、实验内容和原理实验内容:实验原理:三、主要仪器设备四、操作方法与实验步骤1.可变位宽的加减法器IP核的设计8位加减法器的设计实验目的实验内容五、实验数据记录和处理六、实验结果与分析七、讨论、心得一、实验目的和要求1.通过实验,使学生进一步理解原码、补码的概念,学会用加法器做减法的方法,进一步理解无符号数进位与借位,有符号数溢出的判断方法以及符号位和结果为0标志赋
@小冯@
·
2024-01-11 08:50
本科实验报告
物联网
xilinx FPGA 乘法器ip核(multipler)的使用(VHDL&
Vivado
)
一、创建除法ip核可以选择两个变量数相乘,也可以选择一个变量输入数据和一个常数相乘可以选择mult(dsp资源)或者lut(fpga资源)可以选择速度优先或者面积优先可以自己选择输出位宽还有时钟使能和复位功能二、编写VHDL程序:声明和例化乘法器ip核libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;entityyunsuanisPORT(CLK:INSTD_LOGIC
坚持每天写程序
·
2024-01-11 08:49
FPGA
VHDL
VIVADO
fpga开发
免费的modelsim安装教程(Intel modelsim altera 的初学者)
免费的modelsim安装教程(Intelmodelsimaltera的初学者)modelsim步骤modelsim相比于
Vivado
自带的仿真环境,modelsim要更好用一点。功能专一,使用高效。
技术考古员
·
2024-01-11 08:18
工具
fpga开发
OPENWIFI实践1:下载并编译SDRPi的HDL源码
使用的软件环境是装好
VIVADO
2018.3的UBUBTULINUX系统,我用的UBUNTU18.04。购买SDRPi后所给的资料中含有装好
VIVADO
2018.3的UBUNTU系统。
mcupro
·
2024-01-11 08:16
SDRPI
软件无线电
fpga开发
IP使用心得-XDMA IP核使用
本文使用的是
Vivado
2019.1芯片型号K7325T文章目录前言一、PCIe是什
Bigbeea
·
2024-01-11 08:45
工程实操
fpga开发
m基于FPGA的基础OFDM调制解调verilog实现,包括IFFT和FFT,包含testbench
目录1.算法仿真效果2.算法涉及理论知识概要3.Verilog核心程序4.完整算法代码文件1.算法仿真效果其中
Vivado
2019.2仿真结果如下:2.算法涉及理论知识概要正交频分复用(OrthogonalFrequencyDivisionMultiplexing
我爱C编程
·
2024-01-11 08:15
FPGA通信和信号处理
fpga开发
OFDM调制解调
Vivado
中使用VSCode方法(最全面最详细,所有问题这里都有答案)
目录安装使用方法在vscode中显示verilog语法错误好用的VSCODE插件异常问题记录更新记录20230906更新20230720更新注:win7系统支持VSCode1.54.1及以下;Python支持3.8.10及以下版本;使用chardet-3.0.4.及以下版本win10系统支持VSCode1.54.1及以上;Python支持python3.10.5及以上版本;使用chardet-5.
Njustxiaobai
·
2024-01-11 08:41
软件使用技巧
vscode
ide
编辑器
fpga开发
基于FPGA的OFDM基带发射机的设计与实现
、设计与实现1.扰码2.卷积编码与删余3.数据交织4.符号调制5.导频插入6.IFFT变换7.循环前缀&加窗8.训练序列生成9.发射主控MCU四、仿真1.modelsim仿真2.ILA在线测试结果附录
Vivado
wyong0306
·
2024-01-11 08:38
fpga
vivado
乘加器与累加器的ip仿真
在使用乘法器和乘加器中遇到了一些问题,解决后仍有疑问,以此记录乘法器乘法器是指只有数据中只有乘法运算,运算时p=a*b进行如下图所示设置借用一张描仿真代码always#5clk=~clk;initialbeginclk=1;a=0;b=0;ce=0;sclr=1;#100;sclr=0;ce=1;a=10;b=10;#100;ce=0;endmult_gen_0uut(.CLK(clk),//in
qq_51026443
·
2024-01-11 08:07
fpga开发
vivado
工程管理
管理项目打开项目当项目打开时,
Vivado
IDE会从项目已关闭。项目状态包括当前源文件顺序、已禁用和已启用源文件、活动约束文件和目标约束文件,以及合成、模拟和实现运行。
cckkppll
·
2024-01-11 00:43
fpga开发
vivado
导入工程、TCL创建工程命令、
导入外部项目您可以使用导入在
Vivado
IDE外部创建的现有RTL级项目文件SynopsysSynplify。
Vivado
IDE检测项目中的源文件并自动添加文件到新项目。
cckkppll
·
2024-01-11 00:13
fpga开发
vivado
创建编译后工程
创建后期合成项目合成后项目以合成网表、完全生成的块设计、完全生成的IP以及相应的约束。然后,您可以分析、布局和实施设计注意:您可以使用XST或第三方合成工具来创建合成网表。重要!使用EDIF和NGC文件时,顶部单元格名称必须与文件名称相匹配。1.按照创建项目中的步骤进行操作。2.在“项目类型”页面中,选择“后期合成项目”,然后单击“下一步”。注意:如有必要,您可以选择此时不指定来源。这将跳过添加的
cckkppll
·
2024-01-11 00:12
fpga开发
使用
Vivado
Design Suite平台板、将IP目录与平台板流一起使用
使用
Vivado
DesignSuite平台板流
Vivado
设计套件允许您使用AMD目标设计平台板(TDP)创建项目,或者已经添加到板库的用户指定板。
cckkppll
·
2024-01-11 00:41
fpga开发
vivado
图形化设计篇
一.看懂波形二.由波形可得真值表三.可得逻辑表达式Y=A(B+C)四.逻辑框图五.
vivado
图形化设计(1)创建文件1.createblockdesige2.文件命名,设置文件放置地址(2)添加IP核
我来挖坑啦
·
2024-01-10 14:36
fpga开发
xilinix 7系列器件生成已加密文件和已经过身份验证的文件
要生成加密比特流,请在
Vivado
IDE中打开已实现的设计。
朝阳群众&热心市民
·
2024-01-10 13:34
FPGA
fpga开发
Xilnix文件加密方法
vivado
仿真出错
vivado
仿真时,有时候会出现各种奇怪的错误,错误提示很模糊,有时候是testbanch的语法错误,有时候和路径有关系,或者是电脑防火墙每关闭;1、如下是路径问题:提示如下错误:ERROR:[XSIM43
朝阳群众&热心市民
·
2024-01-10 13:03
FPGA
vivado仿真报错
xilinix 不同配置文件区别
生成四种类型的文件BIT文件综合后生成的bit,
vivado
默认的选项配置数据内容:包含不需要下载到fpga的标头信息的二进制配置数据文件。
朝阳群众&热心市民
·
2024-01-10 13:03
FPGA
fpga开发
BIT
BIN
MCS
UltraScale 和 UltraScale+ 生成已加密文件和已经过身份验证的文件
要生成加密比特流,请在
Vivado
IDE中打开已实现的设计。
朝阳群众&热心市民
·
2024-01-10 13:02
FPGA
fpga开发
xilinix
bit文件加密
cmd命令调用
vivado
tcl模式
卡了很久的cmd调用
vivado
tcl模式,今天终于搞出来了。
chinxue2008
·
2024-01-09 11:32
信息可视化
matlab
Vivado
中Tcl使用
综合功能的EDA软件都采用TCL语言,比如,DC、
Vivado
、quartus、Synplify等。TCL是面向ASIC和FPGA设计工具的一种近乎标准的脚本语言。
QYH2023
·
2024-01-09 09:52
fpga开发
米联客 ZYNQ/SOC精品教程 S01-CH05 FPGA程序的固化和下载
软件版本:
VIVADO
2017.4操作系统:WIN1064bit硬件平台:适用米联客ZYNQ系列开发板米联客(MSXBO)论坛:www.osrc.cn答疑解惑专栏开通,欢迎大家给我提问!!
米联客(milianke)
·
2024-01-08 13:27
XILINX
ZYNQ
米联客
1、
VIVADO
软件bit文件和bin文件烧写方法
情况1:由
VIVADO
编译工程生成bit文件并烧写情况2:有直接获得的bit文件,只需借助
VIVADO
软件将其烧写到开发板情况3:由
VIVADO
编译工程生成bin文件并烧写情况4:有直接获得的bin文件
BinaryStarXin
·
2024-01-08 13:55
FPGA技术汇总分享
fpga开发
嵌入式硬件
Verilog
FPGA——
VIVADO
生成固化文件,掉电不丢失
VIVADO
生成固化文件(1)加入代码(2)生成bin文件,并且下载(1)加入代码设计文件(.xdc)中加入这段代码:set_propertyCFGBVSVCCO[current_design]set_propertyCONFIG_VOLTAGE3.3
云影点灯大师
·
2024-01-08 13:53
FPGA
fpga开发
fpga
第一章 体验 ARM,裸机输出“Hello World”
》学习准备批处理下载QSPIFlash批处理建立Vitis工程硬件介绍FPGA流程软件流程创建Application工程工程使用软件下载固化程序FSBL启动测试SD卡启动QSPI启动常见问题准备软件:
vivado
2023.1
weixin_45090728
·
2024-01-08 10:24
ZYNQ学习
arm开发
Vivado
2023.1 最新版安装教程,以及遇到的问题
点击蓝色连接进入下载界面,这里会提示登录账号,直接随便注册一个就行,登录后下载界面的内容都不需要填写,直接点击下载即可,下载完后双击运行,输入账号选择
vivado
,选择企业版选择需要的资源,默认即可
春风沂水丶
·
2024-01-07 23:04
fpga开发
单片机
嵌入式硬件
学习
Vivado
vitis 2023.1 版本 hello world 教学,基于zedboard
vivado
部分打开
vivado
,创建新项目。选择板子,在老版本的
vivado
中,在Boards里面可以直接搜zedboard,新版本没了。
春风沂水丶
·
2024-01-07 23:04
学习
fpga开发
单片机
嵌入式硬件
笔记
vivado
中verilog编写RAM与IP核生成RAM
在一些工程中我们需要用到RAM存储,就需要使用RAM,本文介绍两种RAM的实现方式,一种是用verilog编写的RAM,另一种就是基于
vivado
用IP核生成的RAM,在
vivado
中生成的RAM可能在其他的环境下编译不同过
春风沂水丶
·
2024-01-07 23:33
fpga开发
Vivado
新建与添加外设IP核
(本文末位跟新了2019版本的
Vivado
添加已经创建IP核的方法)创建好工程后,点击tools中的创建IP核,选择创建AXI4。
春风沂水丶
·
2024-01-07 23:33
tcp/ip
服务器
linux
vivado
xsim 终端 模拟
只模拟的话直接终端运行会快很多计数器举例mkdirsrccounter.vmodulecounter(inputwireclk,inputwirerst_n,outputreg[31:0]cnt);always@(posedgeclkornegedgerst_n)if(!rst_n)cnt<=31'h0;elsecnt<=cnt+1;endmoduletb.vmoduletb;wire[31:0]
yvee
·
2024-01-07 13:18
fpga开发
vivado
支持的XDC和SDC命令
支持的XDC和SDC命令本附录讨论了支持的Xilinx设计约束(XDC)和Synopsys设计AMD
Vivado
中的约束(SDC)命令™集成设计环境(IDE)。
cckkppll
·
2024-01-07 03:38
fpga开发
vivado
将RPM转换为XDC宏
将RPM转换为XDC宏建议在可行的情况下将RPM转换为XDC宏,因为XDC宏是实现相对放置约束的优选方法。这个过程可以完成通过从HDL源中删除RPM属性并创建等效的XDC来手动宏。通过使用Tcl代替RPM,转换也可以在一定程度上自动完成具有XDC宏约束的属性。自动化过程包括以下步骤:1.在所有HDL源中,将每个RPM属性替换为名称相似的字符串,例如:•将hu_set替换为m_hu_set•将u_s
cckkppll
·
2024-01-07 03:08
fpga开发
vivado
不支持的SDC命令
不支持以下SDC命令。•set_clock_gating_check•set_clock_transition•set_ideal_latency•set_ideal_network•set_ideal_transition•set_max_fanout•set_drive•set_driving_cell•set_fanout_load•set_input_transition•set_max_
cckkppll
·
2024-01-07 03:36
fpga开发
上一页
1
2
3
4
5
6
7
8
下一页
按字母分类:
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X
Y
Z
其他