E-COM-NET
首页
在线工具
Layui镜像站
SUI文档
联系我们
推荐频道
Java
PHP
C++
C
C#
Python
Ruby
go语言
Scala
Servlet
Vue
MySQL
NoSQL
Redis
CSS
Oracle
SQL Server
DB2
HBase
Http
HTML5
Spring
Ajax
Jquery
JavaScript
Json
XML
NodeJs
mybatis
Hibernate
算法
设计模式
shell
数据结构
大数据
JS
消息中间件
正则表达式
Tomcat
SQL
Nginx
Shiro
Maven
Linux
hdl
Verilog
HDL
第一次实验
1、设计一个键值显示电路,以DE2板上10个拨动开关(switch)作为输入,代表0-9十个十进制数,用七段数码管显示对应的数值。moduleshowin7segment(in,seg);input[9:0]in;output[6:0]seg;reg[6:0]seg;always@(in)begin//seg={g,f,e,d,c,b,a};//0isonand1isoffif(in[9])seg
Carbin
·
2021-06-11 14:09
HDL
4SE:软件工程师学习Verilog语言(七)
7行为模型前面一节读起来是不是有点虎头蛇尾的感觉啊,表达式介绍了一大堆,赋值却草草收场。其实verilog语言中赋值语句与所谓的行为模型相关,本节我们将继续介绍赋值过程,补上上一节留下的遗憾。行为模型,英文是Behavioralmodeling,是指verilog中对数字系统比较高层次的描述方法,然而我们更关心其中顺序,并发,分支,循环等控制结构的描述方式。实际上verilog语言非常强悍,往下层
饶先宏
·
2021-06-05 17:25
笔记
编程语言
verilog
c++
数字集成电路设计流程
前端设计:1.设计输入确定芯片的具体逻辑功能,设计合理的算法(如有必要,可将芯片划分子模块),使用
HDL
语言(常用VHDL或Verilog)描述芯片(模
古城阳光
·
2021-05-09 20:39
动脉粥样硬化的检查
动脉粥样硬化的检查1.实验室检查:本病尚缺乏敏感而又特异性的早期实验室诊断方法,病人多有脂代谢失常,主要表现为血总胆固醇增高,LDL增高,
HDL
降低,血甘油三酯增高,血β脂蛋白增高,载脂蛋白B增高,载脂蛋白
韬炫养朴
·
2021-05-09 20:05
HDL
4SE:软件工程师学习Verilog语言(一)
1引言1.1Verilog语言的基本概念建议软件工程师,特别是嵌入式或者驱动软件设计方面的工程师,对硬件应该有一定的了解。这里所说的硬件,一般可能理解为类似于DIY组装计算机,笔记本换硬盘,升级内存,升级CPU,制作网络线,使用万用表或示波仪测量信号,用电烙铁换板子上的电阻电容,显卡超频,手机贴膜,…,这些似乎都应该懂一点才是。然而这里讲的与编程相关的硬件,特别指数字电路,这是因为软件与硬件的接口
饶先宏
·
2021-05-08 22:39
笔记
编程语言
GTECH库
Translation是指把设计的
HDL
描述转化为GTECH库元件组成的逻辑电路;Mapping是指将GTECH库元件映射到某一特定的半导体工艺库
罐头说
·
2021-05-02 20:28
小小松子中竟然有这种神奇的东西
不仅能够降低胆固醇(TC)、甘油三酯(TG),升高高密度脂蛋白(
HDL
),而且还能抑制、消除其他不饱和脂肪酸对机体的不利影响。
家有松林
·
2021-04-29 08:40
从当初汇编到C语言入手学习,到如今接触FPGA开发已然十年
当时由于没有接触到
HDL
硬件描述语言,设计都是在MAX+plusII原理图环境下用74系列逻辑器件搭建起来的。
小辰带你看世界
·
2021-04-24 10:16
养娃:健康管理师模拟卷三
A.高甘油三酯水平B.偏低的
HDL
水平C.偏高的LDL水平D.高胆固醇是瓶【答案】B【解析】一般来说,就妇女而言,偏低的HD.L水平比偏高的LDL水平对预测心脏病发作更有价值。
王江涛_6000
·
2021-04-19 16:30
《Verilog
HDL
数字综合设计》笔记
4.18层次建模的概念设计学方法分类:自顶向下、自底向上。典型设计中,二者同时存在。设计人员定义顶层模块,逻辑设计者将计划划分为子模块,电路设计者对底层功能块电路进行优化设计。电路设计者——开关级原语——底层功能块库。逻辑设计者——库单元——结构描述设计。模块module一个基本的功能块。可以是一个元件,也可以是一个低层次模块的组合。设计方法:使用元件构建该功能块。特点及优点:设计中多个地方使用,
Musigny
·
2021-04-18 18:36
verilog
fpga
Intel8251可编程串行扩展
EDA的一个重要特征就是使用硬件描述语言(
HDL
)来完成的设计文件,VHDL语言是经IEEE确
fpga&matlab
·
2021-02-26 10:30
FPGA
板块17:接口处理
Verilog
HDL
循环语句简介
2.01VerilogHDL循环语句简介2.1.1本节目录第一,章节目录;第二,前言;第三,FPGA简介;第四,VerilogHDL循环语句简介;第五,结束语;2.1.2本节引言给FPGA一个支点,它可以撬动整个数字逻辑。““给我一根杠杆我就能撬动地球”是古希腊数学家、物理学家阿基米德说的,这句话是阿基米德的经典语录,这句话还被翻译为“给我一个支点,我就能撬起整个地球”,用了夸张的方式来说明杠杆原
宁静致远future
·
2021-01-02 00:38
FPGA锲而不舍
verilog幂次方_【原创】第一次见到的Verilog
HDL
语法
最近在看代码的时候,不小心发现了在表示变量位宽范围时用到了+:、-:这样的符号。这种用法还是第一次见到的,也许是我太孤陋寡闻了。经过一番的查询,终于知道了它的用法和意义了。它的表示方法如下所示:a[j+:k];或a[j-:k];其中,k必须是常数,代表位宽;j则是可变的,当用+:时,j作为变量的最低有效位,j+k-1作为变量的最高有效位,当用-:时,j作为变量的最高有效位,j-k+1作为变量的最低
锦宁
·
2020-12-29 10:39
verilog幂次方
想做数字芯片设计前端?那你得了解这些事
主要包括:规则书制定、系统架构设计、部件详细设计、
HDL
编码、仿真验证、SDC编写、逻辑综合、STA检查、形式化验证等,系统架构设计最难掌握,需要有丰富的设计经验,对应用场景也需要有深入的理解。
糖果味的Smile
·
2020-12-22 18:17
matlab之产品大全
目录一、数学、统计和优化1.曲线拟合工具箱2.深度学习
HDL
工具箱3.深度学习工具箱(中文)4.全局优化工具箱5.优化工具箱(中文)6.偏微分方程工具箱7.统计和机器学习工具箱(中文)8.符号数学工具箱
珞瑜·
·
2020-12-16 19:59
Matlab学习
Matlab产品大全
Matlab查找助手
激光slam_从零开始搭二维激光SLAM --- 写作计划
考虑将gmapping,hector,karto,cartographer,
hdl
,loam,lis-sam这几个SLAM算法中的某个模块抠出来重新实现一下。
weixin_39608301
·
2020-12-01 09:14
激光slam
从零开始搭二维激光SLAM --- 写作计划
考虑将gmapping,hector,karto,cartographer,
hdl
,loam,lis-sam这几个SLAM算法中的某个模块抠出来重新实现一下。
李太白lx
·
2020-11-24 23:49
从零开始搭二维激光SLAM
slam
HDL
Code 简介
VHDL和Verilog的区别这两种语言都是用于数字电子系统设计的硬件描述语言,而且都已经是IEEE的标准。VHDL1987年成为标准,而Verilog是1995年才成为标准的。这个是因为VHDL是美国军方组织开发的,而Verilog是一个公司的私有财产转化而来的。为什么Verilog能成为IEEE标准呢?它一定有其优越性才行,所以说Verilog有更强的生命力。这两者有其共同的特点:1.能形式化
蔚蓝忍者
·
2020-10-10 17:58
编程语言
编程语言
vivado定制IP核,出现找不到user logic解决方法
addsource添加了userlogic.v之后,将自己的userlogic(用户自己定义的文件名).v文件放到自己建的IP文件夹user_ip\ip_repo\led_ip_1_1.0\
hdl
中,然后删除工程里的坏
afzxivd032058
·
2020-09-17 04:33
关于Verilog
HDL
中阻塞与非阻塞赋值形象理解
转载自:http://blog.csdn.net/chief_cf/article/details/52373831关于Verilog中阻塞与非阻塞赋值的几点理解相信很多刚开始学习Verilog的童鞋对阻塞、非阻塞赋值理解得不是很明白,或者说是一头雾水。确实,Verilog中阻塞、非阻塞一直就是一个难点,很多具备很久开发经验的工程师仍是不得要领,在分析代码,看仿真时还是迷迷糊糊。我自己在学习语法的
救赎xsk
·
2020-09-17 04:20
FPGA前仿真后仿真
综合(Synthesize),就是将
HDL
语言设计输入翻译成由与、或、非门和RAM、触发器等逻辑单元组成的网表。
djpj23816
·
2020-09-16 14:45
用verilog
HDL
实现数字基带信号的2FSK调制
2FSK的介绍可以参考:https://blog.csdn.net/qq_39148922/article/details/84337730下面介绍verilogHDL的源代码moduleFSK(inputclk,//时钟信号outputreg[7:0]sigOut,//输出已调信号outputreg[7:0]carryWave1,//1对应的载波幅度outputreg[7:0]carryWave
紫卓执守
·
2020-09-15 20:44
HDL
为什么要有可综合和不可综合两种代码
0.
HDL
历史
HDL
是HardwareDescriptionLanguage的缩写,中文名“硬件描述语言”,并不是“硬件设计语言(HardwareDescriptionLanguage)”
奥利奥冰茶
·
2020-09-15 11:06
FPGA
嵌入式
查找表的原理与结构 什么是竞争与冒险现象?怎样判断?如何消除?
当用户通过原理图或
HDL
语言描述了一个逻辑电路以后,PLD/FPGA开发软件会自动计算逻辑电路的所有可能的结果,并把结果事先写入RAM,这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对
dongdongnihao_
·
2020-09-15 07:13
FPGA
直方图统计的FPGA实现
FPGA实现图像处理算法现在有几种主流的方式:1、
HDL
纯逻辑代码编写;2、基于Systemgenerator的模块搭建;3、Xil
calorie6677
·
2020-09-15 04:48
FPGA图像处理
试验三 状态机应用实验
实验原理状态机设计可以称得上是
HDL
设计里面的精华,几乎所有的设计里面都或多或少地使用了状态机的思想。
iteye_7333
·
2020-09-14 12:21
RC2_3S400学习笔记
编程
工作
1080P、720P、4CIF、CIF所需要的理论带宽
转自:http://blog.sina.com.cn/s/blog_64684bf30101
hdl
7.html在视频监控系统中,对存储空间容量的大小需求是与画面质量的高低、及视频线路等都有很大关系。
weixin_34191734
·
2020-09-14 11:47
关于NC-Verilog常用的仿真选项
ncsim通用的基本选项选项说明对应ncverilog选项-64bit调用64-bit版本的ncvlog+nc64bit-cdslib指定cds.lib文件的路径+nccdslib+-hdlvar指定
hdl
.var
lobbiy
·
2020-09-14 06:04
杂七八
NC
verilog
广工EDA自动售货机实验代码(verilog
HDL
设计代码)
模块代码//mooreVender.vmodulemooreVender(N,D,Q,DC,DN,DD,clk,reset,state);inputN,D,Q,clk,reset;outputDC,DN,DD;output[3:0]state;reg[3:0]state,next;parameterIDLE=0;parameterGOT_5c=1;parameterGOT_10c=2;parame
lseap
·
2020-09-13 20:06
EDA
广工EDA可逆计数器实验代码(verilog
HDL
设计代码)
模块代码//count.vmoduleCount(Q,C_B,Clr,Clk,updown,D);inputClk,updown,Clr;input[7:0]D;output[7:0]Q;outputC_B;reg[7:0]Q;always@(posedgeClk,posedgeClr)if(Clr)beginQ=0;endelseif(Clk&updown)beginQ=Q+1;endelsei
lseap
·
2020-09-13 20:06
EDA
matlab中
hdl
coder 的使用
今天摸索了一下hdlcoder的使用方法,各个步骤主要是照猫画虎,有些地方还是不理解,先总结一下:1.要想调用quartus或者Xilinx综合布局布线需要先设置,设置的方法有两种,命令窗口输入hdlsetuptoolpath('ToolName','AlteraQuartusII',...'ToolPath','D:\altera\10.1\quartus\bin\quartus.exe');或
weixin_30852451
·
2020-09-13 20:40
Verilog
HDL
小练习(二)简单的比较器&&三态驱动器
道路是漫长的,学习是一个不断积累的过程,持之以恒最难,有志者事竟成百二秦关终属楚,苦心人天不负三千越甲可吞吴,谨以此与那些朋友们共勉。1一个二位比较器------功能描述-------构造一个比较器,输入两个2位的量,相等时输出1,不相等时输出0。modulecompare(a,b,out);input[1:0]a,b;outputout;assignout=(a==b)?1:0;/*?1:0表示
sunny00544
·
2020-09-13 19:55
FPGA小练习
Verilog
HDL
语法学习(一)模块结构,数据类型&变量,基本运算符号
时间啊,人最宝贵的就是时间,年轻不是资本,学习才是永远的资本。数据类型&变量:数据类型:Verilog中一共有19种数据类型,基本的数据类型是四种分别为reg型,wire型,interger型,parameter型。其余数据类型分别为:large型,medium型,small型,tri型,trio型,tril型,triland型,trilor型,trireg型,scalared型,vectored
sunny00544
·
2020-09-13 19:55
FPGA学习
Verilog
HDL
语法学习(一)模块结构,数据类型&变量,基本运算符号
一.1模块结构模块(block)常见的写法moduleblock(a,b,c,d);//module模块名(端口1,端口2,端口3,....);inputa,b;//a,b为输入端口outputc,d;//c,d为输入端口assignc=a|b;//赋值语句assignassignd=a&b;endmodule一个完整的Verilog结构包含四个方面:端口定义,I/O说明,内部信号说明,功能定义。
sunny00544
·
2020-09-13 19:55
FPGA小练习
Verilog
HDL
FPGA 从入门到放弃(1)
这是一篇入门文章,笔者也曾经迷茫过,也很困惑过,硬件编程是怎么样的,但是功夫不负有心人,希望我的文章获得读者的认同。谦虚使人进步,希望不足之处请提意见,对于有意思的东西大家可以探讨一下。硬件编程verilog建模一个简单的模型流水灯的实现概念介绍:软核(SoftCore):verilogVHDL模型称为软核固核(FirmCore):电路结构编码文件NetList称为固核。硬核(HardCore):
sunny00544
·
2020-09-13 19:55
FPGA学习
为什么超链接如此重要
为什么超链接对于
HDL
调试至关重要在万维网上冲浪是工程师每天最容易做的事情之一;调试他们的设计和测试平台却是最难的事情之一。EDA多年来一直在努力构建使调试易于处理的工具。
路科验证
·
2020-09-13 19:58
SV语言与UVM应用
设计和建模方法
验证论文解读
IC验证
DVT
《有效测试平台的编写》译文
有效测试平台的编写摘要本应用笔记主要是针对那些初次接触硬件描述语言(
HDL
)验证流程,对测试平台编写没有足够经验的逻辑设计者。
duoma
·
2020-09-13 18:19
测试
平台
语言
工作
终端
存储
模N计数器的Verilog
HDL
代码
//计数器位数:NBITS//模数:UPTOmoduleModuloN_Cntr(Clock,Clear,Q,QBAR);parameterNBITS=2,UPTO=3;inputClock,Clear;output[NBITS-1:0]Q,QBAR;reg[NBITS-1:0]Counter;always@(posedgeClock)if(Clear)Counter<=0;elseCounter
andylauren
·
2020-09-13 18:35
编程语言技巧
基于Verilog
HDL
的模60BCD码计数器设计
基于VerilogHDL的模60BCD码计数器设计最近学习了verilogHDL语言,自己写了一个模60的计数器,计数编码是BCD码,可置位复位,带进位输出,代码如下modulecounter_60(clkin,rst_n,ld,data,out,c);inputclkin,rst_n,ld;input[7:0]data;output[7:0]out;outputc;regc=0;reg[3:0]
惟有饮者留其名
·
2020-09-13 18:09
Verilog
UVM-1.1d dpi文件夹
uvm_dpi.cc链接uvm_dpi.svh链接uvm_
hdl
.c链接uvm_
hdl
.svh链接uvm_regex.cc链接uvm_regex.svh链接uvm_svcmd_dpi.c链接uvm_svcmd_dpi.svh
谷公子
·
2020-09-13 18:04
UVM
Verilog设计与验证 学习笔记一
级的编码风格和各种设计原则第六章讨论RTL级设计的FSM(有限状态机)的描述技巧第四章RTL概念与RTL建模主要内容如下:RTL与综合的概念RTL级设计的基本要素和步骤常用的RTL级建模实例RTL和综合的概念1.
HDL
Ter23LBJ
·
2020-09-13 18:31
verilog学习
Verilog
HDL
小练习(一)二路选择器&&三位加法器
二路选择器是一种及基础的逻辑电路其基本功能描述为,当选择0时输出a,选择1时输出bRTL级描述如下:modulemuxtwo(out,a,b,sl);inputa,b,sl;outputout;//============================regout;always@(sloraorb)//表示只要有一个变化就执行下面的语句if(!sl)out=a;elseout=b;endmodu
sunny00544
·
2020-09-13 18:50
FPGA小练习
Verilog
HDL
验证代码的基本要点;验证方法分类
VerilogHDL验证代码的基本要点;验证方法分类验证的基本要点:完备性:验证需要覆盖要求的功能。复用性:测试代码具有一定的可复用性。高效性:最好可以多多使用可自动操作的方法(方法不唯一)。验证方法分类:验证分为设计者的自我验证和验证者的仿真平台搭建自我验证:设计者首先需要保证自己的代码没有语法错误(不然你让验证人员帮忙改代码吗?);之后,设计者需要通过简单的验证保证基本功能的正确。验证阶段分为
易逍遥D
·
2020-09-13 17:25
Verilog学习经验
verilog
Verilog
HDL
基本语法注意点
case和if...else语句使用:在多个条件分支处于同一个优先级时,使用case语句;在多个条件分支处于不同优先级时,使用if...else嵌套形式。关于条件操作符:在处理简单的二选一问题时,条件操作符的表述比较简洁,但在处理复杂的选择问题时,使用条件操作符会使程序显得混乱而且难懂,所以不推荐使用条件操作符实现复杂的条件结构。几种循环语句的比较:repeat:循环次数固定while:for:f
xiaqiang2006
·
2020-09-13 17:59
Temporary
or
Knowledge
tips
任务
include
语言
c
vivado中的OOC技术
一、什么是OOCOOC(Out-of-context)是Vivado提供的一项技术,选择将
HDL
对象当作一个隔离模块运行,完成自底向上的综合流程。
亦可西
·
2020-09-13 17:40
VIVADO
笔记
Testbench基本入门
1编写testbench目的编写testbench的主要目的是为了对使用硬件描述语言(
HDL
)设计的电路进行仿真验证,测试设计电路的功能、部分性能是否与预期的目标相符。
浩瀚之水_csdn
·
2020-09-13 15:05
嵌入式FPGA相关知识汇总
Testbench编写指南(1)基本组成与示例
对于小型设计来说,最好的测试方式便是使用TestBench和
HDL
仿真器来验证其正确性。
FPGADesigner
·
2020-09-13 15:38
FPGA
testbench
(Verilog
HDL
)阻塞赋值和非阻塞赋值的区别和使用
过程赋值语句多用于对reg型变量进行复制,过程赋值有阻塞复制和非阻塞赋值两种。非阻塞赋值的符号为:<=阻塞赋值符号为:=(1)非阻塞赋值的例子:regc,b;always@(posedgeclk)beginb<=a;c<=b;end(2)阻塞赋值的例子:regc,b;always@(posedgeclk)beginb=a;c=b;end上述例子中,使用非阻塞赋值方法,其中的每个<=都可以理解为一个
leonsc
·
2020-09-13 14:02
Verilog
HDL
Verilog
HDL
的Testbench简介
Testbench模块没有输入输出,在Testbench模块内例化待测设计的顶层模块,并把测试行为的代码封装在内,直接对测试系统提供测试激励。下面是一个基本的Testbench结构模块:moduletestbench;//数据类型声明//对被测试模块实例化//产生测试激励//对输出响应进行收集endmodule一般来讲,在数据类型声明时,和被测模块的输入端口相连的信号定义为reg类型,这样便于在i
formerman
·
2020-09-13 14:21
FPGA/CPLD
Cadence Concept
HDL
学习记录(前言)
还好有CIS的基础,学习了几天
HDL
,用起来还是力不从心,目前也正在学习探索中,希望把学习的过程记录下来,和更多的同行一起分享,也希望能为后来的提供一些帮助。
raydlut
·
2020-09-13 06:07
Cadence
Concept
HDL
上一页
7
8
9
10
11
12
13
14
下一页
按字母分类:
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X
Y
Z
其他