E-COM-NET
首页
在线工具
Layui镜像站
SUI文档
联系我们
推荐频道
Java
PHP
C++
C
C#
Python
Ruby
go语言
Scala
Servlet
Vue
MySQL
NoSQL
Redis
CSS
Oracle
SQL Server
DB2
HBase
Http
HTML5
Spring
Ajax
Jquery
JavaScript
Json
XML
NodeJs
mybatis
Hibernate
算法
设计模式
shell
数据结构
大数据
JS
消息中间件
正则表达式
Tomcat
SQL
Nginx
Shiro
Maven
Linux
vhdl
第11周作业---HLS编程环境入门
目录HLS概念HLS是什么HLS与
VHDL
/Verilog有什么关系?
pss_runner
·
2024-09-08 09:41
VCS简介
它使你能够分析,编译和仿真Verilog,
VHDL
,混合HDL,SystemVerilog,OpenVera和SystemC描述的设计。它还为您提供了一系列仿真和调试功能,以验证您的设计。
XtremeDV
·
2024-09-07 08:14
VCS快速实战指南
Xilinx Vivado的RTL分析(RTL analysis)、综合(synthesis)和实现
2、RTL分析(RTLanalysis)一般来讲,通常的设计输入都是Verilog、
VHDL
或者SystemVerilog等硬件描述语言HDL编写的文件,RTL分析这一步就是将HDL语言转化成逻辑电路图的过程
2401_84185145
·
2024-09-05 23:38
程序员
fpga开发
初识 Verilog
Verilog综述:类C,并行,自顶向下,硬件描述语言,
VHDL
,VerilogHDL。
VHDL
,VerilogHDL,两种不同描述语言。Verilog语言(并行,硬件)类似C语言(串行,软件)。
栀栀栀
·
2024-09-02 08:27
笔记
Quartus网盘资源下载与安装 附图文安装教程
Quartus支持多种编程语言,包括
VHDL
、Verilog等,并具有丰富的功能和工具库,可满足不同级别、不同规模的数字电路设计需求。收藏的Quartus安装包
学习天使Alice
·
2024-08-29 10:20
fpga开发
学习
xilinx FPGA 除法器IP核(divider)的使用 vivado 2019.1
参考:xilinxFPGA除法器ip核(divider)的使用(
VHDL
&Vivado)_vivado除法器_坚持每天写程序的博客-CSDN博客一、创建除法IPvivado的除法器ip核有三种类型,跟ISE
小 阿 飞
·
2024-02-20 21:31
fpga开发
xilinx FPGA 乘法器 除法器 开方 IP核的使用(
VHDL
&ISE)
目录一、乘法器ip核1.新建工程之后建一个ip核文件:2.配置ip核:3.编写顶层文件或者激励文件:第一种情况:这个是加了ce的第二种情况:这个是加了ce和sclr的第三种情况:这个是不加使能的乘法器的正确使用:第二天的新进展:最高位是1结果之所以出问题,是因为设置的时候我忘了改了,那个输入的类型默认是signed,即有符号位,大家一定要看清楚哟,按照自己需求,看是否设置最高位为有符号位二、除法器
坚持每天写程序
·
2024-02-20 21:30
xilinx
fpga
ip核使用例程(VHDL)
FPGA
VHDL
ISE
fpga开发
vivado HDL编码技术
VHDL
的优点•实施更严格的规则,特别是强类型、不太宽容和容易出错的规则•HDL源代码中RAM组件
cckkppll
·
2024-02-14 14:35
fpga开发
fpga 需要掌握哪些基础知识?
1、数电(必须掌握的基础),然后进阶学模电,2、掌握HDL(verilog或
VHDL
)一般建议先学verilog,然后可以学SystemVerilog和
VHDL
。
宸极FPGA_IC
·
2024-02-11 01:30
fpga开发
fpga
硬件工程
嵌入式硬件
java
stm32
1.1 Verilog 教程
Verilog继承了C语言的多种操作符和结构,与另一种硬件描述语言
VHDL
相比,语法不是很严格,代码更加简洁,更容易上手。Verilog不仅定义了语法,还对语法结构都定义了清晰的仿真语义。
二当家的素材网
·
2024-02-08 02:09
Verilog
教程
fpga开发
Verilog
【INTEL(ALTERA)】为什么在编译 HDMI 英特尔® FPGA IP设计示例
VHDL
变体时看到错误 (13879)?
说明由于英特尔®Quartus®PrimeProEdition软件版本23.2存在一个问题,您在编译HDMI英特尔®FPGAIP设计示例的
VHDL
变体时可能会看到以下错误:错误(13879):
VHDL
绑定指示
神仙约架
·
2024-02-07 04:25
INTEL(ALTERA)
FPGA
fpga开发
13879
HDMI
【INTEL(ALTERA)】错误 (22595): 英特尔 Quartus不支持“BDF”类型的实体“entity_path/entity_name”
任何现有的BDF设计文件都必须转换为VerilogHDL或
VHDL
。
神仙约架
·
2024-02-07 04:55
INTEL(ALTERA)
FPGA
BDF
Quartus
fpga开发
ncverilog仿真的基础脚本
NCSimNC-SIM为Cadence公司之
VHDL
与Verilog混合模拟的模拟器(simulator),可以帮助IC设计者验证及模拟其所用
VHDL
与Verilog混合计设的IC功能.NC-Verilog
罐头说
·
2024-02-06 14:07
1位全加器设计—— 原理图与
VHDL
设计初步
文章目录一、实验背景二、实验过程总结一、实验背景通过1位全加器的详细设计,掌握原理图输入以及Verilog的两种设计方法二、实验过程实验软件:quartusII13.0modelslimse10.2实验硬件:FPGA开发板IntelDE2-115实验步骤:1.打开quartusll13.0的软件,新建一个工程2.选择合适的开发板类型3.新建原理图文件:打开QuartusII,选菜单“File”一“
贪睡的小孩
·
2024-02-06 07:03
【xilinx primitives 】02 OBUFDS and OBUFTDS
真值表例化
VHDL
LibraryUNISIM;useUNISIM.vcomponents.all;--OBUFDS:
hcoolabc
·
2024-02-02 19:40
FPGA
硬件工程
FPGA解码MIPI视频:Xilinx Artix7-35T低端FPGA,基于MIPI CSI-2 RX Subsystem架构实现,提供工程源码和技术支持
视频的应用本方案在XilinxKintex7上解码MIPI视频的应用本方案在XilinxZynq7000上解码MIPI视频的应用本方案在XilinxZynqUltraScale上解码MIPI视频的应用纯
VHDL
9527华安
·
2024-02-02 10:43
FPGA解码MIPI视频专题
菜鸟FPGA图像处理专题
fpga开发
音视频
MIPI
图像处理
CSI
可逆计数器
vhdl
CLR是复位控制输入端;ENA是使能控制输入端;LOAD是预置控制输入端;D[3..0]是4位并行数据输入端;DIR是加减控制输入端,当DIR=0时,计数器作加法操作,DIR=1时,计数器作减法操作;COUT是进/借位输出端。libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL;entityRev_Counter
m0_51525427
·
2024-01-31 20:54
经验分享
应届生把FPGA学到什么程度可以找工作?
以下是一些学习里程碑希望可以帮助您达到求职的门槛:一、硬件描述语言(HDL)熟练度:首先,你需要熟悉至少一种硬件描述语言,如
VHDL
或Verilog,这是FPGA设计的基础。
宸极FPGA_IC
·
2024-01-30 08:13
fpga开发
fpga
硬件工程
基于FPGA的4路抢答器verilog,quartus
代码下载:基于FPGA的4路抢答器verilog,quartus_Verilog/
VHDL
资源下载代码网:hdl
FPGA代码库
·
2024-01-29 13:03
fpga开发
xilinx FPGA 除法器ip核(divider)的使用(
VHDL
&Vivado)
一、创建除法ip核vivado的除法器ip核有三种类型,跟ISE相比多了一个LuMult类型,总结来说就是LuMult:使用了DSP切片、块RAM和少量的FPGA逻辑原语(寄存器和lut),所以和Radix2相比占用fpga资源更少;可以选择有符号或者无符号类型数据;但是位数有限,只能用于运算量小的时候,被除数位宽:2~17,除数位宽:2~11;只能选择余数模式Radix2:使用FPGA逻辑原语(
坚持每天写程序
·
2024-01-29 13:58
FPGA
VHDL
VIVADO
fpga开发
1024程序员节
单板计算机(SBC)-片上系统(SOC)嵌入式C++和FPGA(
VHDL
)
要点:片上系统/单板计算机嵌入式C++及
VHDL
编程单板计算机(RaspberryPi)C++实现MQTT监控房间门锁,灯光,并使用RESTful提示状态单板计算机(ESP8266)C++无线网络MQTT
亚图跨际
·
2024-01-29 08:26
嵌入式
FPGA
C/C++
单板计算机SBC
片上系统SOC
Raspberry
Pi
ESP8266
MQTT
C++
Qt
Modelsim SE 10.5安装教程
大学老师爱教
VHDL
语言,但是进入社会以后,基本都是VerilogHDL语言,简单易学,建议用Verilog来仿真与做FPGA工程。一、资源:Modelsim_
GBXLUO
·
2024-01-29 05:08
FPGA
fpga开发
modelsim
vivado 将I/O规划项目迁移到RTL、UltraScale的I/O规划体系结构内存IP、UltraScale体系结构内存IP I/O规划设计流程变更、综合I/O规划
端口定义用于为按照规定,使用Verilog或
VHDL
进行RTL设计。差分对缓冲器添加到顶部模块和总线定义也包括在RTL中。项目属性更改为反映RTL项目类型。重要!
cckkppll
·
2024-01-29 02:30
fpga开发
基于QC-LDPC编码的循环移位网络的FPGA实现
一、桶式移位寄存器(barrelshifter)八位桶式移位寄存器的
VHDL
实现如下,由于每一层结构相似,于是采用生成语句for_generate实现,使用该代码实现的RTL级分析和理论的结构一致,仿真结果也符合预期
泽_禹
·
2024-01-28 13:15
通信原理
LDPC
fpga开发
信息与通信
【FPGA Verilog开发实战指南】初识Verilog HDL-基础语法
这里写目录标题VerilogHDL简介与
VHDL
比较VerilogHDL基础语法逻辑值关键字moduleendmodule模块名输入信号输出信号既做输入也做输出线网型变量wire寄存器型变量reg参数parameter
醉酒柴柴
·
2024-01-26 09:31
fpga开发
学习
笔记
xilinx 除法ip核(divider) 不同模式结果和资源对比(
VHDL
&ISE)
1.Radix-2模式:基数-2使用整数操作数的非恢复整数除法,允许生成分数或整数余数。对于小于16位的操作数宽度或需要高吞吐量的应用程序,建议使用。基数-2非恢复算法使用加减法求解每个周期的一点商。该设计是完全流水线的,可以实现每个时钟周期一分的吞吐量。如果所需的吞吐量较小,则每个时钟参数的分法允许降低吞吐量和资源使用。该算法自然会生成一个余数,对于需要整数余数或模数结果的应用程序的选择也是如此
坚持每天写程序
·
2024-01-24 07:41
xilinx
fpga
ip核使用例程(VHDL)
FPGA
VHDL
ISE
fpga开发
图像处理算法:白平衡、除法器、乘法器~笔记
参考:基于FPGA的自动白平衡算法的实现白平衡初探(qq.com)FPGA自动白平衡实现步骤详解-CSDN博客xilinx除法ip核(divider)不同模式结果和资源对比(
VHDL
&ISE)_ise除法器
NoNoUnknow
·
2024-01-24 07:00
笔记
SystemC学习笔记(三) - 查看模块的波形
上的transaction,而对于SystemC本身来说,查看波形就是使用Gtkwave或其他EDA工具,查看Module的input/output的时序输入/输出,其本质和硬件设计的Verilog/
VHDL
crazyskady
·
2024-01-23 13:04
SystemC
Simulation
学习
笔记
SystemC
VHDL
/Verilog编译错误总结
VHDL
编译错误总结Vivado
VHDL
VerilogQuartus
VHDL
VerilogLattice
VHDL
VerilogVivado
VHDL
[Synth8-2778]typeerrorneartxen_sync
FPGA的花路
·
2024-01-23 08:44
❀工信工实验参考——《
VHDL
实验3——交通灯与智慧交通》
免责声明,本人菜只因一只,内容仅供参考,错了不负责哈该实验和报告部分参考了基于状态机的交通灯控制(
vhdl
)_尚@scut的博客-CSDN博客_基于状态机的交通灯控制,但是因为我们华工EDA实验室换成了正点原子新起点
程序源_hytz
·
2024-01-22 13:33
VHDL实验
fpga开发
学习方法
经验分享
EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决
目录1,资源下载及quartusII9.0的下载2,建立一个测试工程;3,编写
VHDL
程序和仿真文件4,alterausb-blaster驱动识别失败解决1,资源下载及quartusII9.0的下载链接
望525
·
2024-01-18 14:45
学习方法
fpga开发
EDA课设(数字系统设计)--数字密码锁
,实现前期准备5,实现代码6,引脚设置7,部分验证1,注意该博客是根据自己的课设报告写的,所以大家不要抄袭,仅用作给大家提供实现思路以及一些经验,希望大家根据我写的东西,理解关键的代码,较为熟练的掌握
VHDL
望525
·
2024-01-18 14:15
开发语言
fpga开发
学习方法
EDA期末复习
1.选择10个,一个2分2.名词解释5个,一个2分(去年的五个是:CPLD,ASIC,LUT,EDA,RTL)3.VHLD程序填空3个,一个10分(依次是:D触发器,4选1多路开关,移位寄存器,)4.
VHDL
__dh
·
2024-01-15 23:40
struts
java
后端
vcs -xprop的理解
一、vcs-xprop简介https://www.synopsys.com/zh-cn/verification/simulation/vcs-xprop.htmlVerilog和
VHDL
常用于数字设计建模
Num One
·
2024-01-13 09:10
EDA
[Synopsys][vcs工具] vcs_xprop 学习
参考原始数据来源synopsys官方地址一.VCSXprop1.目的:提高X相关仿真和调试的效率Verilog和
VHDL
常用于数字设计建模。设计人员使用RTL构造描述硬件行为。
那么菜
·
2024-01-13 09:36
VCS
杂记
fpga开发
xprop仿真选项对RTL X态传播的影响
对于这个选项,synopsys给出的解释是:“Verilog和
VHDL
常用于数字设计建模。设计人员使用RTL构造描述硬件行为。然而,某些RTL仿真语义不足以准确地为硬件行为建模。
尼德兰的喵
·
2024-01-13 09:05
芯片前端设计
EDA工具使用笔记
芯片前端验证
verilog
vivado交通灯设计verilog代码ego1板红绿灯时间可修改
FPGA代码Verilog/
VHDL
代码资源下载:www.hdlcode.com本代码已
FPGA代码库
·
2024-01-13 04:57
fpga开发
ego1
交通灯
vivado
verilog
Microsemi Libero系列教程(五)——ModelSim的使用
Modelim仿真交流群系列教程:MicrosemiLibero系列教程关于ModelSimMentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持
VHDL
whik1194
·
2024-01-12 11:35
Microsemi
Libero
SoC系列教程
SmartFusion
Actel
Microsemi
FPGA
ModelSim
IC设计 前端和后端
1,前端主要负责逻辑实现,通常是使用verilog/
VHDL
之类语言,进行行为级的描述。而后端,主要负责将前端的设计变成真正的schematic&layout,流片,量产。
osala
·
2024-01-11 15:32
IC设计
layout
编程
语言
算法
java
工具
fpga的设计流程【科普】
HDL语言中,应用最为广泛的是
VHDL
和VerilogHDL。(2)功能仿真电路设计完成后,要
宸极FPGA_IC
·
2024-01-11 11:56
fpga开发
fpga
硬件工程
嵌入式硬件
单片机
xilinx FPGA 乘法器ip核(multipler)的使用(
VHDL
&Vivado)
核可以选择两个变量数相乘,也可以选择一个变量输入数据和一个常数相乘可以选择mult(dsp资源)或者lut(fpga资源)可以选择速度优先或者面积优先可以自己选择输出位宽还有时钟使能和复位功能二、编写
VHDL
坚持每天写程序
·
2024-01-11 08:49
FPGA
VHDL
VIVADO
fpga开发
Modelsim10.4安装
它能提供友好的仿真环境,采用单内核支持
VHDL
和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术和单一内核仿真技术,编译仿真速度快且编译的代码与平台无关。
Ephtiny
·
2024-01-11 08:38
fpga开发
vivado 导入工程、TCL创建工程命令、
设置,如顶部模块、目标设备和
VHDL
库分配是从现有项目导入的。1.按照创建项目中的步骤进行操作。2.在“项目类型”页面中,选择“导入的项目”,然后单击“下一步”。
cckkppll
·
2024-01-11 00:13
fpga开发
FPGA-
VHDL
-竞赛抢答器设计(平台实现)-2023
题目四:竞赛抢答器设计(平台实现)★抢答器的输入路数为8路;(8位二进制输入)当主持人宣布开始(拨下A7键时为有效),抢答时当某一方先按下按键,其他键则失效;用一个数码管显示抢中的路编号,并开始进行60秒倒计时(用两个数码管显示),时间到用一指示灯进行闪烁提示;A7键回位后,进行下一轮抢答。重要的事情说三遍:可以参考,不要伤害认真做的同学!可以参考,不要伤害认真做的同学!可以参考,不要伤害认真做的
-芒果酱-
·
2024-01-06 16:59
fpag开发
fpga开发
大一,如何成为一名fpga工程师?
1、数电(必须掌握的基础),然后进阶学模电(选学),2、掌握HDL(HDL=verilog+
VHDL
)可以选择verilog或者
VHDL
,建议verilog就行。
宸极FPGA_IC
·
2024-01-06 11:18
fpga开发
fpga
硬件工程
嵌入式硬件
单片机
MATLAB/simulink HDLCoder生成DDS quartus项目
一、什么是HDLCoderHDLCoder通过从MATLAB函数、Simulink模型和Stateflow图中生成可移植、可综合的Verilog®和
VHDL
萨文 摩尔杰
·
2024-01-05 23:42
FPGA学习
matlab
fpga开发
开发语言
2020-01-13硬件设计语言版本更新与Vivado 2018.3支持
VHDL
和verilog是两种国际公认的硬件编程语言,版本更替如下:IEEEStd1364-2001_IEEEStandardforVerilogHardwareDescriptionLanguage=
az1981cn
·
2024-01-05 19:31
使用VIVADO LICENSE 加密
VHDL
/Verilog 文件(一)
第一步:license获取到赛灵思官网申请IEEE1735V2的license,或者通过赛灵思代理商申请。(建议后者,前者可能不会有回复)。第二步,加载license,使能加密功能。第三步,根据需求创建密钥文件。根据自身需求,更改是否加密仿真等情况,一般通过falsetrue选择。文件下内容如下:`pragmaprotectversion=2`pragmaprotectencrypt_agent=
希言自然也
·
2024-01-05 16:18
#
vivado
fpga开发
我不想学JAVA---------JAVA和C的区别
从九月份开学到现在,已经学了Linux,数据结构,SLAM,C++的基础操作,期间还参与编写了一本
VHDL
的教材。还有上课、考试什么的其他杂七杂八的事情就不说了。
Chris·Bosh
·
2024-01-05 12:39
JAVA
java
【硬件描述语言】期末复习
VHDL
语言的功能建模、仿真、综合优点:采用自上至下的设计方法系统大量采用AISC芯片采用系统早期仿真降低了硬件电路的设计难度主要涉及文件是用HDL语言编写的源程序,其资料量小;可继承性好;阅读方便2、
小萨摩!
·
2024-01-01 04:45
期末考试
linux
网络
服务器
上一页
1
2
3
4
5
6
7
8
下一页
按字母分类:
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X
Y
Z
其他