E-COM-NET
首页
在线工具
Layui镜像站
SUI文档
联系我们
推荐频道
Java
PHP
C++
C
C#
Python
Ruby
go语言
Scala
Servlet
Vue
MySQL
NoSQL
Redis
CSS
Oracle
SQL Server
DB2
HBase
Http
HTML5
Spring
Ajax
Jquery
JavaScript
Json
XML
NodeJs
mybatis
Hibernate
算法
设计模式
shell
数据结构
大数据
JS
消息中间件
正则表达式
Tomcat
SQL
Nginx
Shiro
Maven
Linux
硬件编程VHDL
VHDL
标记标识符:有26个字母与数字以及下划线_组成注:必须以字母打头;不能有两个连续的_;最后一个字符不能是下划线;字母不区分大小写;最长32个字符如:正确:CLKNOT1_Q错误:3DADB-ADB_保留字:不能做标识符如:andinentityportprocess…界符:语句以;结束;注释用-;信号赋值用,=移位运算符:SLL,SLA,SRL,SRA,ROL,ROR符号运算符:+,-连接运算符:
默微
·
2018-10-30 00:02
EDA
EDA
VHDL
Quartus II文件编译下载和USB-Blaster驱动安装
首先建立一个verilogHDLfile,单击File->New:如上图所示,选择VerilogHDLFile,当然了如果使用
VHDL
语言呢,就选择
VHDL
file辣。
grace_fight
·
2018-10-07 21:18
FPGA学习
Quartus 18 新手教程
最近需要做个小作品,用到了Quartus18,本人采用
vhdl
语言进行的开发,过程如下。
Unfriendly_ARM
·
2018-10-04 14:44
Quartus
18
FPGA
教程
使用方法
教程
FPGA芯片选型(FPGA初学者的选择)
初学者在学习FPGA的时候,要解决的首要的问题是对数字电路技术基础知识的掌握,然后就是对硬件描述语言的掌握(veirlog或者
VHDL
)。至于FPGA芯片本
aoxiang_ywj
·
2018-10-02 00:00
FPGA
Vivado使用技巧(26):HDL编写技巧
VHDL
语言的优势有:语法规则更加严格;在HDL源代码中初始化RAM组件更容易;支持package;自定义类型;枚举类型;没有reg和wire之间的混淆。
FPGADesigner
·
2018-08-27 16:45
FPGA
VHDL
赋值语句
resultrst,clk=>clk,a=>a,b=>b,dout=>temp);result<=temp;endBehavioral;其中sum模块用于计算两路输入信号之和,在时钟上升沿触发计算。仿真结果如下:
行舟人
·
2018-08-17 09:00
vhdl
赋值语句
PCB设计入门
与原理图和目标输出相关联的文件都被加入到工程中,例如PCB,FPGA,嵌入式(
VHDL
)和库。当工程被编译的时候,设计校验、仿真同
杨广帅
·
2018-07-29 10:10
Altium
designer
VHDL
加法器
-用结构方法设计一个半加器。两个一位二进制数Ai和Bi相加,Si为半加器的和,Si+1为进位输出。--第一步设计低层实体:xor_gateLibraryieee;Useieee.std_logic_1164.all;Entityxor_gateisPort(Op1:instd_logic;Op2:instd_logic;Xor_result:outstd_logic);Endxor_gate;Ar
liudongdong19
·
2018-07-20 11:29
FPGA
学习 FPGA之前的基础知识
所以不少开始接触FPGA的开发人员,往往是从
VHDL
或者Verilog开始入手学习的。
weixin_30702413
·
2018-07-18 06:00
c/c++
如何解决
VHDL
中参数化赋值:赋全0、全1、全z
VHDL
中可将参数定义在generic语句中,一般是将位宽定义在此,其他参数可定义在package中。这里不做讨论。
长弓的坚持
·
2018-07-08 21:49
python入门笔记
emmm,这个笔记主要是给自己看到的,整理了李茂老师《python
硬件编程
实战》一书中的内容。
DianyeHuang
·
2018-07-05 00:21
python学习
systemC入门
在硬件仿真上的语言不是很多,主流的有
vhdl
,verilog,systemverilog以及systemC。systemC是一种系统级语言也是一种硬件仿真的语言,它是由c++经过修改得到的。
Alex_rz
·
2018-06-29 09:22
sytemC
入门
systemC
quartus使用
一、使用quartus新建工程file>NewProjectwizard一直next直到芯片选型界面,在此界面中进行相应的芯片选型,选型结束之后进入总结界面,将
vhdl
改为verilog即可点击finish
Tristone1217
·
2018-06-15 13:50
FPGA
教你学好FPGA,轻松快速实现工程梦
1入门首先要掌握HDL(HDL=verilog+
VHDL
)第一句话是:还没学数电的先学数电。然后你可以选择verilog或者
VHDL
,有C语言基础的,建议选择
VHDL
。
嵌入式资讯精选
·
2018-05-28 11:00
ASIC、FPGA和CPU各有什么特点?
更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们ASIC芯片内部架构较为简单,不可以
硬件编程
,只能用来专门处理某一种功能,灵活性最差,但是在执行某一种任务上的效率最高。
FPGAerClub
·
2018-05-27 22:51
VHDL
-边沿触发的加法计数器与七段数码管显示
将加法计数器的输出DOUT作为七段数码管的输入,显示计数的结果。该程序由四部分组成,分别是:加法计数器、数码管显示、通用偶数分频器、顶层调用文件。1)顶层调用文件--数码管显示--通过拨码开关控制CLK,EN,LOAD;--通过独立按键控制RST.--v1.0使用拨码开关产生时钟信号,不稳定。--v1.1增加分频器,以此产生时钟信号libraryieee;useieee.std_logic_116
Colin.Tan
·
2018-04-23 22:28
FPGA学习与开发
基于
VHDL
语言的一位全加器
全加器的真值表如下:该全加器程序由以下三个子程序构成1)“f_adder”全加器程序LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYf_adderISPORT(ain,bin,cin:INSTD_LOGIC;cout,sum:OUTSTD_LOGIC);ENDENTITYf_adder;ARCHITECTUREfd1OFf_adderISCOMPONENT
Colin.Tan
·
2018-04-23 22:53
FPGA学习与开发
Vivado HLS 开发步骤(高级综合)(FPGA)
Tesbench,C/C++源代码和Directives,相应的输出为IPCatalog,DSP和SysGen,特别的,一个工程只能有一个顶层函数用于综和,这个顶层函数下面的子函数也是可以被综合的,会生成相应的
VHDL
图像所浩南哥
·
2018-04-19 20:51
HLS
工具使用:欲善其事
先利其器
中兴之痛
底层技术缺人是好几年前就知道的事情,当时我们老师几个学生的毕业设计全是应用开发,本来我们老师之前是做
vhdl
的哦,这估计后面的N届也不会有人做。
一个不爱吃甜品的柠檬精
·
2018-04-17 23:51
用
vhdl
写testbench文件的简单方法
Vhdl
--写Testbench1六进制计数器的代码Libraryieee; useieee.std_logic_1164.all; useieee.std_logic_arith.all; useieee.std_logic_unsigned.all
我就是666呀
·
2018-03-30 10:05
FPGA学习笔记
Android GLSurfaceView用法解析
OpenGL(OpenGraphicsLibrary)意为开放图形库,是一个跨平台的图形API,用于指定3D图形处理硬件中的软
硬件编程
接口。OpenGL一般用于图形工作站,PC端使用。
YellowJacketHjj
·
2018-03-26 17:40
Android开发
FPGA程序编写
用的软件是VIVADO,语言是VERILOG,还有一种是
VHDL
语言,都是硬件描述语言,可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能,和C语言不同,很多是并行语句。
Sky_Lannister
·
2018-03-24 10:55
FPGA
VHDL
实现一位全加器以及 Quartus II 初探
输入包括两个加数与一个进位信号;输出包括一个本位和与进位信号2.
VHDL
实现useieee.std_logic_1164.all;entityfull_adder1isport(a,b,ci:instd_logic
种子选手
·
2018-03-17 13:00
计算机组成原理
Android逆向-Android基础逆向9(so相关学习干货)
还可以进行
硬件编程
,可以从事机器人或机械制造。AR
Bubble_zhu
·
2018-02-14 00:48
Android逆向-操刀天下
ORB(FAST+BRIEF)特征提取与实现——特征点提取算法分析
如何用
VHDL
来并行实现这一算法。请阅读本文时,记得看下一篇博文!一、前言1.摘要:图像特征的检测和提取是计算机视觉最重要的研究领域之一,ORB(OrientedFA
是否龙磊磊真的一无所有
·
2018-01-31 21:06
FPGA设计
opencv
图像处理
特征提取
2018年Arduino
硬件编程
入门课用户操作手册&欢迎信
欢迎加入外滩教育出品的Arduino
硬件编程
入门课!希望通过本次学习,能帮助孩子在探索的同时享受编程的乐趣。
外滩教育
·
2018-01-17 16:45
VHDL
&Quartus程序常见问题汇总-记录更新
Q1:输出输入信号不能混用当一个信号被定义为输出信号之后,在固件程序中不能够再读取这个信号的值来赋给新的信号。常见的解决方法是,将输出信号换成另一个信号,直接将该信号的值赋值给输出信号。a:outb:inc:signalifwesaybassignment-->setting-->library-->将my_lib文件夹添加到目录。在需要引用的.vhd文件添加以下代码:librarymy_lib;
EE_Young
·
2018-01-06 19:19
ZYNQ HLS工具系列(一) HLS图像处理入门
ZYNQ开发之HLS图像处理入门HLS(HighLevelSynthesis)即高层次综合,和以前Verilog及
VHDL
不一样,通过它可以用C/C++、SystemC及OPENCL编写FPGA程序,实现相应功能
long_fly
·
2017-12-19 21:17
VIVADO
HLS工具
生活充满压力
第一周周一上午,他们在一间教室上了两个小时课,学了计算机的
VHDL
语言。下课老师要求他们在
凌沨
·
2017-12-11 00:00
VHDL
:conv_std_logic_vector的用法
std_logic_arith程序包里定义的数据转换函数:conv_std_logic_vector(A,位长)--INTEGER,SINGER,UNSIGNED转换成std_logic_vector。由于参考书上都没有具体说明,本以为是将原来的数据类型按位矢量输出,结果按这种用法编写的滤波器在接实际信号时,却使用输出图像全部反色,经modelsim波形仿真之后,才发现滤波器结构是正确的,可是调用
是这耀眼的瞬间
·
2017-12-04 19:03
FPGA那些事
VHDL
疑难解答
VHDL
的并行语句用来描述一组并发行为,它是并发执行的,与程序的书写顺序无关。
黎先生你好
·
2017-10-31 08:35
VHDL
语言testbench仿真的例子
参考:http://bbs.elecfans.com/jishu_418996_1_1.html1.源代码--六进制计数器的代码Libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entitycnt6isport(clr,en,clk:instd_logi
Graduate_2017
·
2017-10-27 21:47
FPGA
FPGA与
VHDL
小结
祈祷不会问到FPGA和
VHDL
这门课讲了什么?课时较少的缘故,仅仅浅显的讲解了FPGA与
VHDL
。(FPGA简介)fpga是什么?fpga现状?fpga简单的说,就是现场可编程逻辑阵列。
x_xx_xxx_xxxx
·
2017-10-25 18:16
学习
VHDL
一位全加器
教材:
VHDL
硬件描述语言与数字逻辑电路设计(第三版)软件:QuartusII要求:设计一个一位全加器,实体名称为“full_adder”,其引脚与功能如下表。
Bruin_love_Bunny
·
2017-10-04 13:33
VHDL
VHDL
加法器
教材:
VHDL
硬件描述语言与数字逻辑电路设计(第三版)软件:QuartusII熟悉软件基本操作,设计简单的加法器,并进行仿真实验。
Bruin_love_Bunny
·
2017-10-02 16:43
VHDL
Team30 第三次作业
另一方面,我们的专业偏硬件方向,所以虽然本科时候用C比较多,但大多数时候都是在c51上的
硬件编程
,没有编写过大型程序。也没有接受过完整的项目开发培训,可以说基本没有任何软件工程的基础。
宋成光
·
2017-09-27 17:00
QuestaSim使用及Makefile命令
及GUI交互操作:1.Questasim简介: Questasim是由MentorGraphics公司推出的一款功能强大的仿真工具,支持SystemC,Verillog,SystemVerilog以及
VHDL
mjwwzs
·
2017-09-25 21:08
技术分享
验证
仿真
verilog
systemverilog验证
Makefile
代码的文件和路径命名规范和目录结构规划
点击查看规则经验文件、文件夹命名法用字母或下划线_开头的驼峰命名法,比较特有的名称用大驼峰命名法(A51、PHP、
VHDL
、Altera、Intellij、CLion),通用和常见的名称用小驼峰命名法(
fightfa
·
2017-09-08 22:13
基础
树莓派GPIO最入门教程:先从控制一个LED小灯开始
在所有软件编程语言中,第一个程序都叫helloworld,而今天的点灯教程其实就是
硬件编程
的helloworld,例子非常简单。
玩转树莓派
·
2017-08-22 11:11
树莓派GPIO最入门教程:先从控制一个LED小灯开始
在所有软件编程语言中,第一个程序都叫helloworld,而今天的点灯教程其实就是
硬件编程
的helloworld,例子非常简单。
玩转树莓派
·
2017-08-22 11:11
C/C++:联合体、位段巧妙用法
直接上代码,见如下应用场景:在
硬件编程
中,经常要进行位操作,假定寄存器是8位的(这里就偷个懒,不写32位的了),且某个寄存器的地址是0x10,现在我要读写寄存器。typedefunsig
慧科集团华东校区
·
2017-08-14 13:13
文件IO
1、系统调用:用户空间进程访问内核的接口;把用户从底层的
硬件编程
中解放出来;极大的提高了系统的安全性;使用户程序具有可移植性;是操作系统的一部分。
Infect_your_breath
·
2017-07-30 15:59
文件IO
文件IO
VHDL
中数据类型转换与移位(STD_LOGIC_ARITH与NUMERIC_STD)
目前写
VHDL
程序时,大部分人已经熟悉的库调用如下所示:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all
Setul
·
2017-07-19 16:33
quartus怎么仿真?Quartus II调用modelsim无缝仿真详细图文教程
QuartusII是Altera公司的综合性PLD/FPGA开发软件,原理图、
VHDL
、VerilogHDL以及AHDL(AlteraHardware支持DescriptionLanguage)等多种设计输入形式
佚名
·
2017-07-17 11:22
ModelSim仿真实例教程
ModelSim是Mentor的子公司MedelTechnology的一个出色的VerilogHDL/
VHDL
混合仿真软件,它属于编译型仿真器(进行仿真前必须对HDL源码进行编译),方针速度快,功能强。
大佬带带我鸭
·
2017-06-07 08:23
仿真软件
ModelSim仿真实例教程
ModelSim是Mentor的子公司MedelTechnology的一个出色的VerilogHDL/
VHDL
混合仿真软件,它属于编译型仿真器(进行仿真前必须对HDL源码进行编译),方针速度快,功能强。
大佬带带我鸭
·
2017-06-07 08:23
仿真软件
Python 玩转物联网之 Micropython GPIO IRQ 处理
在做
硬件编程
的时候我们经常需要用IRQ来处理硬件的中断请求,比如键盘被按下的时候就会触发一个键盘中断,MCU在收到中断请求之后就需要作出相应的处理。
机智的小米米
·
2017-05-03 10:56
树莓派的GPIO编程
GPIO不仅能实现通信,还能直接控制电子元器件,从而让用户体验到
硬件编程
的乐趣。GPIO简介在树莓派3上,GPIO接口由40个针脚(PIN)组成。每个针
Vamei
·
2017-04-23 17:00
【
VHDL
】
VHDL
设计n的全加器
1.实验任务设计并实现一个n(n=8)的全加器2.如何实现先设计出一个半加器LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYh_adder_1ISPORT(A,B:INSTD_LOGIC;SO,CO:OUTSTD_LOGIC);ENDENTITYh_adder_1;ARCHITECTUREfh1OFh_adder_1ISBEGINSOain,B=>bin,
_TFboy
·
2017-02-28 07:46
VHDL
库和包(Libraries and Packages)
VHDL
库和包(LibrariesandPackages)设计库用来收集设计单元组成一个具有唯一名的域,可以被设计中多个源文件引用。设计单元是
VHDL
的主要组成部分。主设计单元是实体,包和配置。
寸草心
·
2017-02-24 14:05
HDL
上一页
16
17
18
19
20
21
22
23
下一页
按字母分类:
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X
Y
Z
其他